15 lines
221 B
Systemverilog
15 lines
221 B
Systemverilog
|
`include "defines.svh"
|
||
|
|
||
|
module mul_signed(
|
||
|
input logic CLK,
|
||
|
input logic [31:0] A,
|
||
|
input logic [31:0] B,
|
||
|
output logic [63:0] P
|
||
|
);
|
||
|
|
||
|
always_ff @(posedge CLK)
|
||
|
P <= $signed(A) * $signed(B);
|
||
|
|
||
|
|
||
|
endmodule
|