This commit is contained in:
Paul Pan 2021-08-16 06:33:23 +08:00
parent a87a07e9c9
commit ce44026e23

View File

@ -130,6 +130,11 @@ set_property IOSTANDARD LVCMOS33 [get_ports UART_TX]
#set_property PACKAGE_PIN K20 [get_ports EJTAG_TDI]
#set_property PACKAGE_PIN K22 [get_ports EJTAG_TMS]
#set_property PACKAGE_PIN K21 [get_ports EJTAG_TDO]
# GPIO
set_property PACKAGE_PIN T23 [get_ports PIN37]
set_property PACKAGE_PIN T22 [get_ports PIN38]
set_property IOSTANDARD LVCMOS33 [get_ports PIN37]
set_property IOSTANDARD LVCMOS33 [get_ports PIN38]
set_property IOSTANDARD LVCMOS33 [get_ports clk]