From ce44026e2352097eb052125241fe50d5214f65c1 Mon Sep 17 00:00:00 2001 From: Paul Pan Date: Mon, 16 Aug 2021 06:33:23 +0800 Subject: [PATCH] fix xdc --- resources/soc_axi_system/run_vivado/soc_up.xdc | 5 +++++ 1 file changed, 5 insertions(+) diff --git a/resources/soc_axi_system/run_vivado/soc_up.xdc b/resources/soc_axi_system/run_vivado/soc_up.xdc index 336dd1e..c7eccb3 100644 --- a/resources/soc_axi_system/run_vivado/soc_up.xdc +++ b/resources/soc_axi_system/run_vivado/soc_up.xdc @@ -130,6 +130,11 @@ set_property IOSTANDARD LVCMOS33 [get_ports UART_TX] #set_property PACKAGE_PIN K20 [get_ports EJTAG_TDI] #set_property PACKAGE_PIN K22 [get_ports EJTAG_TMS] #set_property PACKAGE_PIN K21 [get_ports EJTAG_TDO] +# GPIO +set_property PACKAGE_PIN T23 [get_ports PIN37] +set_property PACKAGE_PIN T22 [get_ports PIN38] +set_property IOSTANDARD LVCMOS33 [get_ports PIN37] +set_property IOSTANDARD LVCMOS33 [get_ports PIN38] set_property IOSTANDARD LVCMOS33 [get_ports clk]