This commit is contained in:
cxy004 2021-08-01 21:43:49 +08:00
parent 5a08830e3c
commit 944fe5b16e

View File

@ -45,7 +45,7 @@ module Queue #(parameter WIDTH = 64) (
{vinA, inA},
item3,
item2,
{item2.valid & (~enB | item3.valid), (~enB & (~enA | item1.valid) | enB & ~item3.valid & item2.valid)}
{item2.valid & (~enB | item3.valid), (~enB & (~enA | item1.valid) | enB & ~item3.valid & item2.valid)},
next1
);
mux4 #(1 + WIDTH) next2_mux (
@ -53,7 +53,7 @@ module Queue #(parameter WIDTH = 64) (
{vinA, inA},
item4,
item3,
{item3.valid & (~enB | item4.valid), item1.valid & (~enB & (~enA | item2.valid) | enB & item3.valid & ~item4.valid)}
{item3.valid & (~enB | item4.valid), item1.valid & (~enB & (~enA | item2.valid) | enB & item3.valid & ~item4.valid)},
next2
);
mux3 #(1 + WIDTH) next3_mux (