From 944fe5b16e52d3b329dac394dc57ef7a7485c28a Mon Sep 17 00:00:00 2001 From: cxy004 Date: Sun, 1 Aug 2021 21:43:49 +0800 Subject: [PATCH] merge --- src/Core/Queue.sv | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/Core/Queue.sv b/src/Core/Queue.sv index cff1cb3..b61a40f 100644 --- a/src/Core/Queue.sv +++ b/src/Core/Queue.sv @@ -45,7 +45,7 @@ module Queue #(parameter WIDTH = 64) ( {vinA, inA}, item3, item2, - {item2.valid & (~enB | item3.valid), (~enB & (~enA | item1.valid) | enB & ~item3.valid & item2.valid)} + {item2.valid & (~enB | item3.valid), (~enB & (~enA | item1.valid) | enB & ~item3.valid & item2.valid)}, next1 ); mux4 #(1 + WIDTH) next2_mux ( @@ -53,7 +53,7 @@ module Queue #(parameter WIDTH = 64) ( {vinA, inA}, item4, item3, - {item3.valid & (~enB | item4.valid), item1.valid & (~enB & (~enA | item2.valid) | enB & item3.valid & ~item4.valid)} + {item3.valid & (~enB | item4.valid), item1.valid & (~enB & (~enA | item2.valid) | enB & item3.valid & ~item4.valid)}, next2 ); mux3 #(1 + WIDTH) next3_mux (