Merge remote-tracking branch 'origin/master'
This commit is contained in:
commit
0ad38a9a26
@ -28,8 +28,8 @@ module tb2_top ();
|
||||
assign btn_step = 2'd3;
|
||||
|
||||
initial begin
|
||||
$dumpfile("dump.vcd");
|
||||
$dumpvars();
|
||||
// $dumpfile("dump.vcd");
|
||||
// $dumpvars();
|
||||
|
||||
resetn = 1'b0;
|
||||
#2000;
|
||||
|
@ -82,19 +82,19 @@ module instr_valid (
|
||||
endmodule
|
||||
|
||||
module woutput (
|
||||
input logic [1:0] addr,
|
||||
input word_t data,
|
||||
input logic [1:0] size,
|
||||
output word_t wdata,
|
||||
output logic [3:0] wstrb,
|
||||
output logic error
|
||||
input logic [1:0] addr,
|
||||
input word_t data,
|
||||
input logic [1:0] size,
|
||||
output word_t wdata,
|
||||
output logic [3:0] wstrb,
|
||||
output logic error
|
||||
);
|
||||
|
||||
always_comb
|
||||
casez (size)
|
||||
2'b1?: begin
|
||||
wdata = data;
|
||||
wstrb = 4'b1111;
|
||||
wstrb = 4'b1111;
|
||||
error = (addr != 2'b00);
|
||||
end
|
||||
2'b01: begin
|
||||
|
Loading…
Reference in New Issue
Block a user