diff --git a/resources/2021/soc_axi_func/testbench/tb2.sv b/resources/2021/soc_axi_func/testbench/tb2.sv index 7a9011a..b637cbe 100644 --- a/resources/2021/soc_axi_func/testbench/tb2.sv +++ b/resources/2021/soc_axi_func/testbench/tb2.sv @@ -28,8 +28,8 @@ module tb2_top (); assign btn_step = 2'd3; initial begin - $dumpfile("dump.vcd"); - $dumpvars(); + // $dumpfile("dump.vcd"); + // $dumpvars(); resetn = 1'b0; #2000; diff --git a/src/Core/Gadgets.sv b/src/Core/Gadgets.sv index 6dd2bc9..64c6bf2 100644 --- a/src/Core/Gadgets.sv +++ b/src/Core/Gadgets.sv @@ -82,19 +82,19 @@ module instr_valid ( endmodule module woutput ( - input logic [1:0] addr, - input word_t data, - input logic [1:0] size, - output word_t wdata, - output logic [3:0] wstrb, - output logic error + input logic [1:0] addr, + input word_t data, + input logic [1:0] size, + output word_t wdata, + output logic [3:0] wstrb, + output logic error ); always_comb casez (size) 2'b1?: begin wdata = data; - wstrb = 4'b1111; + wstrb = 4'b1111; error = (addr != 2'b00); end 2'b01: begin