diff --git a/kernel/src/objects/tcb.rs b/kernel/src/objects/tcb.rs index 509f19a..34f6808 100644 --- a/kernel/src/objects/tcb.rs +++ b/kernel/src/objects/tcb.rs @@ -99,10 +99,7 @@ impl TcbObject { } pub fn schedulable(&self) -> bool { - match self.state { - ThreadState::Idle | ThreadState::Running => true, - _ => false, - } + matches!(self.state, ThreadState::Idle | ThreadState::Running) } pub fn schedule_next(&self) {