MIPS/model/ICTag_bram.v
2021-09-21 17:15:30 +08:00

18 lines
304 B
Verilog

module ICTag_bram (
input [ 5:0] addra,
input clka,
input [21:0] dina,
output [21:0] douta,
input wea
);
reg [21:0] ram [0:63];
always @(posedge CLK) begin
if(wea) begin
ram[addra] <= dina;
end
douta <= ~wea ? ram[addra] : {21{$random}};
end
endmodule