MIPS/model/DCData_bram.v
2021-09-21 17:15:30 +08:00

18 lines
313 B
Verilog

module DCData_bram (
input [ 6:0] addra,
input clka,
input [127:0] dina,
output [127:0] douta,
input wea
);
reg [127:0] ram [0:127];
always @(posedge CLK) begin
if(wea) begin
ram[addra] <= dina;
end
douta <= ~wea ? ram[addra] : {128{$random}};
end
endmodule