MIPS/sim/model/mul_signed.sv
Paul Pan 9ce588757d feat: MU rewrite 1
1. ALU format
2. FIX hazard (MOVN / MOVZ)
3. verilator support
2022-07-27 15:07:16 +08:00

15 lines
221 B
Systemverilog

`include "defines.svh"
module mul_signed(
input logic CLK,
input logic [31:0] A,
input logic [31:0] B,
output logic [63:0] P
);
always_ff @(posedge CLK)
P <= $signed(A) * $signed(B);
endmodule