[chore][flash tool] update bflb flash tool

This commit is contained in:
qqwang 2021-06-25 17:02:39 +08:00
parent 2fd69672fa
commit a0d4520afe
25 changed files with 3442 additions and 3347 deletions

Binary file not shown.

Binary file not shown.

View File

@ -5,7 +5,8 @@ device = COM1
speed_uart_boot = 500000
speed_uart_load = 500000
speed_jlink = 2000
openocd_config = ft2232
#eg: rv_dbg_plus, ft2232hl, ft2232d
openocd_config = rv_dbg_plus
#0:without load, 1:eflash_loader load
load_function = 1
auto_burn = false
@ -19,7 +20,7 @@ cutoff_time = 100
shake_hand_retry = 2
flash_burn_retry = 1
checksum_err_retry = 3
boot2_loader_timeout = 0
iap_shakehand_timeout = 0
#1:24M, 2:32M, 3:38.4M, 4:40M, 5:26M, 6:RC32M
#xtal_type = 4
erase_time_out = 15000

View File

@ -4,7 +4,8 @@ interface = uart
device = COM1
speed_uart_boot = 500000
speed_uart_load = 500000
openocd_config = ft2232
#eg: rv_dbg_plus, ft2232hl, ft2232d
openocd_config = rv_dbg_plus
auto_burn = false
speed_jlink = 2000
#0:without load, 1:eflash_loader load
@ -18,7 +19,7 @@ cutoff_time = 50
shake_hand_retry = 3
flash_burn_retry = 1
checksum_err_retry = 3
boot2_loader_timeout = 0
iap_shakehand_timeout = 0
#1: 32M, 2: RC32M
#xtal_type = 2
erase_time_out = 15000

View File

@ -7,7 +7,7 @@ aesiv_file = img_create/aesiv_cpu0.bin
publickey_file = ""
privatekey_file_uecc = ""
segheader_file = d:\BouffaloLabWS\bl_mcu_sdk\tools\bflb_flash_tool\chips\bl702\img_create_mcu/segheader_tmp.bin
segdata_file = D:/BouffaloLabWS/bl_mcu_sdk/examples/timer/timer_basic/cdk/Obj/timer_basic.bin
segdata_file = D:/BouffaloLabWS/bl_mcu_sdk/examples/adc/adc_continuous_differential/cdk/Obj/adc_continuous_differential.bin
bootinfo_file = d:\BouffaloLabWS\bl_mcu_sdk\tools\bflb_flash_tool\chips\bl702\img_create_mcu/bootinfo.bin
img_file = d:\BouffaloLabWS\bl_mcu_sdk\tools\bflb_flash_tool\chips\bl702\img_create_mcu/img.bin
whole_img_file = d:\BouffaloLabWS\bl_mcu_sdk\tools\bflb_flash_tool\chips\bl702\img_create_mcu/img_if.bin

View File

@ -0,0 +1,15 @@
[pt_table]
#partition table is 4K in size
address0 = 0xE000
address1 = 0xF000
[[pt_entry]]
type = 0
name = "FW"
device = 0
address0 = 0x010000
size0 = 0x30000
address1 = 0x40000
size1 = 0x30000
# compressed image must set len,normal image can left it to 0
len = 0

View File

@ -0,0 +1,73 @@
[FLASH_CFG]
exit_contread_cmd = 0xff
exit_contread_cmd_size = 3
mfg_id = 0x5e
io_mode = 4
de_burst_wrap_cmd = 0x77
de_burst_wrap_cmd_dmy_clk = 0x03
de_burst_wrap_code_mode = 2
de_burst_wrap_code = 0xF0
write_enable_cmd = 0x06
wel_reg_index = 0
wel_bit_pos = 1
wel_reg_read_len = 1
wel_reg_write_len = 2
qe_reg_index = 1
qe_bit_pos = 1
qe_reg_write_len = 1
qe_reg_read_len = 1
busy_reg_index = 0
busy_bit_pos = 0
busy_reg_read_len = 1
release_power_down = 0xab
reg_read_cmd0 = 0x05
reg_read_cmd1 = 0x35
reg_write_cmd0 = 0x01
reg_write_cmd1 = 0x31
fast_read_qio_cmd = 0xeb
fast_read_qio_dmy_clk = 2
cont_read_support = 1
cont_read_code = 0x20
burst_wrap_cmd = 0x77
burst_wrap_dmy_clk = 0x03
burst_wrap_data_mode = 2
burst_wrap_code = 0x40
chip_erase_cmd = 0xc7
sector_erase_cmd = 0x20
blk32k_erase_cmd = 0x52
blk64k_erase_cmd = 0xd8
page_prog_cmd = 0x02
qpage_prog_cmd = 0x32
qual_page_prog_addr_mode = 0
reset_en_cmd = 0x66
reset_cmd = 0x99
cont_read_exit_code = 0xff
jedecid_cmd = 0x9f
jedecid_cmd_dmy_clk = 0
qpi_jedecid_cmd = 0x9f
qpi_jedecid_dmy_clk = 0
sector_size = 4
page_size = 256
fast_read_cmd = 0x0b
fast_read_dmy_clk = 1
qpi_fast_read_cmd = 0x0b
qpi_fast_read_dmy_clk = 1
fast_read_do_cmd = 0x3b
fast_read_do_dmy_clk = 1
fast_read_dio_cmd = 0xbb
fast_read_dio_dmy_clk = 0
fast_read_qo_cmd = 0x6b
fast_read_qo_dmy_clk = 1
qpi_fast_read_qio_cmd = 0xeb
qpi_fast_read_qio_dmy_clk = 2
qpi_page_prog_cmd = 0x02
write_vreg_enable_cmd = 0x50
enter_qpi_cmd = 0x38
exit_qpi_cmd = 0xff
sector_erase_time = 300
blk32k_erase_time = 1200
blk64k_erase_time = 1200
page_prog_time = 5
chip_erase_time = 33000
power_down_delay = 8
qe_data = 0

View File

@ -0,0 +1,73 @@
[FLASH_CFG]
exit_contread_cmd = 0xff
exit_contread_cmd_size = 3
mfg_id = 0xba
io_mode = 0x14
de_burst_wrap_cmd = 0x77
de_burst_wrap_cmd_dmy_clk = 0x03
de_burst_wrap_code_mode = 2
de_burst_wrap_code = 0xF0
write_enable_cmd = 0x06
wel_reg_index = 0
wel_bit_pos = 1
wel_reg_read_len = 1
wel_reg_write_len = 2
qe_reg_index = 1
qe_bit_pos = 1
qe_reg_write_len = 2
qe_reg_read_len = 1
busy_reg_index = 0
busy_bit_pos = 0
busy_reg_read_len = 1
release_power_down = 0xab
reg_read_cmd0 = 0x05
reg_read_cmd1 = 0x35
reg_write_cmd0 = 0x01
reg_write_cmd1 = 0x01
fast_read_qio_cmd = 0xeb
fast_read_qio_dmy_clk = 2
cont_read_support = 1
cont_read_code = 0xa0
burst_wrap_cmd = 0x77
burst_wrap_dmy_clk = 0x03
burst_wrap_data_mode = 2
burst_wrap_code = 0x40
chip_erase_cmd = 0xc7
sector_erase_cmd = 0x20
blk32k_erase_cmd = 0x52
blk64k_erase_cmd = 0xd8
page_prog_cmd = 0x02
qpage_prog_cmd = 0x32
qual_page_prog_addr_mode = 0
reset_en_cmd = 0x66
reset_cmd = 0x99
cont_read_exit_code = 0xff
jedecid_cmd = 0x9f
jedecid_cmd_dmy_clk = 0
qpi_jedecid_cmd = 0x9f
qpi_jedecid_dmy_clk = 0
sector_size = 4
page_size = 256
fast_read_cmd = 0x0b
fast_read_dmy_clk = 1
qpi_fast_read_cmd = 0x0b
qpi_fast_read_dmy_clk = 1
fast_read_do_cmd = 0x3b
fast_read_do_dmy_clk = 1
fast_read_dio_cmd = 0xbb
fast_read_dio_dmy_clk = 0
fast_read_qo_cmd = 0x6b
fast_read_qo_dmy_clk = 1
qpi_fast_read_qio_cmd = 0xeb
qpi_fast_read_qio_dmy_clk = 2
qpi_page_prog_cmd = 0x02
write_vreg_enable_cmd = 0x50
enter_qpi_cmd = 0x38
exit_qpi_cmd = 0xff
sector_erase_time = 300
blk32k_erase_time = 1200
blk64k_erase_time = 1200
page_prog_time = 5
chip_erase_time = 65535
power_down_delay = 3
qe_data = 0

View File

@ -1,11 +1,11 @@
# BouffaloLab USB-JTAG/TTL adapter
interface ftdi
adapter driver ftdi
ftdi_vid_pid 0x0403 0x6010
ftdi_channel 1
ftdi_tdo_sample_edge falling
transport select jtag
adapter_khz 10000
adapter speed 10000
ftdi_layout_init 0x00f8 0x00fb
#ftdi_layout_signal nTRST -data 0x0400

View File

@ -1,16 +1,16 @@
# BouffaloLab USB-JTAG/TTL adapter
interface ftdi
ftdi_vid_pid 0x0403 0x6010
ftdi_channel 0
#ftdi_tdo_sample_edge falling
transport select jtag
adapter_khz 4000
ftdi_layout_init 0x00f8 0x00fb
#ftdi_layout_signal nTRST -data 0x0400
#ftdi_layout_signal nSRST -ndata 0x0020
#reset_config srst_only srst_push_pull
#adapter_nsrst_delay 100
#adapter_nsrst_assert_width 100
# BouffaloLab USB-JTAG/TTL adapter
adapter driver ftdi
ftdi_vid_pid 0x0403 0x6010
ftdi_channel 0
#ftdi_tdo_sample_edge falling
transport select jtag
adapter speed 4000
ftdi_layout_init 0x00f8 0x00fb
#ftdi_layout_signal nTRST -data 0x0400
#ftdi_layout_signal nSRST -ndata 0x0020
#reset_config srst_only srst_push_pull
#adapter_nsrst_delay 100
#adapter_nsrst_assert_width 100

Binary file not shown.

View File

@ -1,10 +1,10 @@
# SiPEED USB-JTAG/TTL based on FT2232D
interface ftdi
adapter driver ftdi
ftdi_vid_pid 0x0403 0x6010
# http://blog.sipeed.com/p/727.html
ftdi_channel 0
transport select jtag
adapter_khz 8000
adapter speed 8000
ftdi_layout_init 0x0508 0x0f1b
ftdi_layout_signal nTRST -data 0x0200 -noe 0x0100

View File

@ -12,12 +12,12 @@ echo "Ready for Remote Connections"
$_TARGETNAME.0 configure -event reset-assert-pre {
echo "reset-assert-pre"
adapter_khz 100
adapter speed 100
}
$_TARGETNAME.0 configure -event reset-deassert-post {
echo "reset-deassert-post"
adapter_khz 4000
adapter speed 4000
reg mstatus 0x7800
reg mie 0x0
# reg pc 0x22008000
@ -26,7 +26,7 @@ $_TARGETNAME.0 configure -event reset-deassert-post {
$_TARGETNAME.0 configure -event reset-init {
echo "reset-init"
# 4MHz for FPGA
adapter_khz 4000
adapter speed 4000
}
gdb_memory_map enable

View File

@ -12,12 +12,12 @@ echo "Ready for Remote Connections"
$_TARGETNAME.0 configure -event reset-assert-pre {
echo "reset-assert-pre"
adapter_khz 100
adapter speed 100
}
$_TARGETNAME.0 configure -event reset-deassert-post {
echo "reset-deassert-post"
adapter_khz 4000
adapter speed 4000
reg mstatus 0x7800
reg mie 0x0
# reg pc 0x22008000
@ -26,7 +26,7 @@ $_TARGETNAME.0 configure -event reset-deassert-post {
$_TARGETNAME.0 configure -event reset-init {
echo "reset-init"
# 4MHz for FPGA
adapter_khz 4000
adapter speed 4000
}
gdb_memory_map enable