diff --git a/docs/development_guide/build/doctrees/api_reference/peripheral/api_pwm.doctree b/docs/development_guide/build/doctrees/api_reference/peripheral/api_pwm.doctree index c1f94a8f..6cb8cf72 100644 Binary files a/docs/development_guide/build/doctrees/api_reference/peripheral/api_pwm.doctree and b/docs/development_guide/build/doctrees/api_reference/peripheral/api_pwm.doctree differ diff --git a/docs/development_guide/build/doctrees/environment.pickle b/docs/development_guide/build/doctrees/environment.pickle index 624c0361..b13ec5ea 100644 Binary files a/docs/development_guide/build/doctrees/environment.pickle and b/docs/development_guide/build/doctrees/environment.pickle differ diff --git a/docs/development_guide/build/doctrees/get_started/get_started.doctree b/docs/development_guide/build/doctrees/get_started/get_started.doctree index 90216bc4..e690da5b 100644 Binary files a/docs/development_guide/build/doctrees/get_started/get_started.doctree and b/docs/development_guide/build/doctrees/get_started/get_started.doctree differ diff --git a/docs/development_guide/build/doctrees/samples/basic samples/pwm/pwm_step_motor.doctree b/docs/development_guide/build/doctrees/samples/basic samples/pwm/pwm_step_motor.doctree index 81f040a6..1bf95782 100644 Binary files a/docs/development_guide/build/doctrees/samples/basic samples/pwm/pwm_step_motor.doctree and b/docs/development_guide/build/doctrees/samples/basic samples/pwm/pwm_step_motor.doctree differ diff --git a/docs/development_guide/build/html/.buildinfo b/docs/development_guide/build/html/.buildinfo index 694e335c..a6a74b4d 100644 --- a/docs/development_guide/build/html/.buildinfo +++ b/docs/development_guide/build/html/.buildinfo @@ -1,4 +1,4 @@ # Sphinx build info version 1 # This file hashes the configuration used when building these files. When it is not found, a full rebuild will be done. -config: 5e2136c8889edd21ef4392d0839f5aa1 +config: c1a44f4f683944084f04b029ea7630d0 tags: 645f666f9bcd5a90fca523b33c5a78b7 diff --git a/docs/development_guide/build/html/_images/sipeed_rv_debugger_8.png b/docs/development_guide/build/html/_images/sipeed_rv_debugger_8.png index 971389d8..09b85980 100644 Binary files a/docs/development_guide/build/html/_images/sipeed_rv_debugger_8.png and b/docs/development_guide/build/html/_images/sipeed_rv_debugger_8.png differ diff --git a/docs/development_guide/build/html/_sources/api_reference/peripheral/api_pwm.rst.txt b/docs/development_guide/build/html/_sources/api_reference/peripheral/api_pwm.rst.txt index 5a85db07..5fd7049b 100644 --- a/docs/development_guide/build/html/_sources/api_reference/peripheral/api_pwm.rst.txt +++ b/docs/development_guide/build/html/_sources/api_reference/peripheral/api_pwm.rst.txt @@ -250,17 +250,29 @@ PWM设备 ``event`` 类型如下 - dev 需要关闭的pwm通道句柄 -**pwm_channel_update** -^^^^^^^^^^^^^^^^^^^^^^^ +**pwm_channel_freq_update** +^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ -``pwm_channel_update`` 用于更新PWM通道的频率和占空比。实际是调用 ``device_control`` ,其中 ``cmd`` 为 ``DEVICE_CTRL_CONFIG``。 +``pwm_channel_freq_update`` 用于更新PWM通道的频率。实际是调用 ``device_control`` ,其中 ``cmd`` 为 ``DEIVCE_CTRL_PWM_FREQUENCE_CONFIG``。 .. code-block:: C - pwm_channel_update(dev,cfg) + pwm_channel_freq_update(dev,count) - dev 需要更新的pwm通道句柄 -- cfg pwm_config_t句柄 +- count 周期值 ,实际频率=pwm_clk/pwm_div/count + +**pwm_channel_dutycycle_update** +^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ + +``pwm_channel_dutycycle_update`` 用于更新PWM通道的频率。实际是调用 ``device_control`` ,其中 ``cmd`` 为 ``DEIVCE_CTRL_PWM_DUTYCYCLE_CONFIG``。 + +.. code-block:: C + + pwm_channel_dutycycle_update(dev,cfg) + +- dev 需要更新周期计数值的pwm通道句柄 +- cfg pwm_dutycycle_config_t句柄 **pwm_it_pulse_count_update** diff --git a/docs/development_guide/build/html/_sources/get_started/get_started.rst.txt b/docs/development_guide/build/html/_sources/get_started/get_started.rst.txt index 8c95ed64..190d05b8 100644 --- a/docs/development_guide/build/html/_sources/get_started/get_started.rst.txt +++ b/docs/development_guide/build/html/_sources/get_started/get_started.rst.txt @@ -70,7 +70,7 @@ Sipeed RV-Debugger Plus 调试器在 Windows 系统中所以时我们需要将 .. figure:: img/sipeed_rv_debugger_7.png - .. important:: 3. 若在设备管理器中没有串口,显示其他设备,请到 `FTDI 官网 `_ 下载与系统匹配的驱动 + .. important:: 3. 若在设备管理器中没有显示串口,只显示其他设备,或者只在通用串行总线控制器中看到 ``USB Serial Converter A`` 和 ``USB Serial Converter B``,请到 `FTDI 官网 `_ 下载与系统匹配的驱动 .. figure:: img/sipeed_rv_debugger_6.png @@ -96,7 +96,7 @@ Sipeed RV-Debugger Plus 调试器在 Windows 系统中所以时我们需要将 **Linux** ^^^^^^^^^^^^^^^^^^^ -- 首先,将调试器 Type-C USB 接口使用 USB 数据线连接到 PC 主机,执行以下命令,查看是否存在 +- 首先,将调试器 Type-C USB 接口使用 USB 数据线连接到 PC 主机,打开 Terminal,在终端中输入 lsusb 命令,即可看到如下信息的设备 .. code-block:: bash @@ -104,16 +104,14 @@ Sipeed RV-Debugger Plus 调试器在 Windows 系统中所以时我们需要将 .. figure:: img/sipeed_rv_debugger_8.png -- 安装 Openocd 及其需要的依赖项 +- 如果上述图中不显示 FT2232C 字样,需要安装 ftdi 驱动 .. code-block:: bash - $ apt install openocd libusb-dev libftdi-dev libhidapi-dev + $ sudo apt install libusb-dev libftdi-dev libhidapi-dev - 重新插拔调试器使修改生效 -- 打开 Terminal,在终端中输入 lsusb 命令,即可看到如下信息的设备 -.. code-block::bash - - $ Bus 001 Device 003: ID 0403:6010 Future Technology Devices International, Ltd FT2232C Dual USB-UART/FIFO IC +- 调试代码需要安装 openocd,使用 openocd 0.11 版本 +.. note:: 在 linux 中,串口是使用 /dev/ttyUSB1,调试口使用 /dev/ttyUSB0,如果显示 /dev/ttyACM0 则表示进入 boot 模式 diff --git a/docs/development_guide/build/html/_sources/samples/basic samples/pwm/pwm_step_motor.rst.txt b/docs/development_guide/build/html/_sources/samples/basic samples/pwm/pwm_step_motor.rst.txt index 356ce0ba..d63e16bc 100644 --- a/docs/development_guide/build/html/_sources/samples/basic samples/pwm/pwm_step_motor.rst.txt +++ b/docs/development_guide/build/html/_sources/samples/basic samples/pwm/pwm_step_motor.rst.txt @@ -66,7 +66,7 @@ PWM - 驱动步进电机 .. code-block:: C :linenos: - #define BSP_PWM_CLOCK_SOURCE ROOT_CLOCK_SOURCE_RC_32K + #define BSP_PWM_CLOCK_SOURCE ROOT_CLOCK_SOURCE_32K_CLK #define BSP_PWM_CLOCK_DIV 32 - 配置 ``PWM`` 设备时钟源,见 ``bsp/board/bl706_iot/clock_config.h`` diff --git a/docs/development_guide/build/html/_static/documentation_options.js b/docs/development_guide/build/html/_static/documentation_options.js index caf9f71d..163682bc 100644 --- a/docs/development_guide/build/html/_static/documentation_options.js +++ b/docs/development_guide/build/html/_static/documentation_options.js @@ -1,6 +1,6 @@ var DOCUMENTATION_OPTIONS = { URL_ROOT: document.getElementById("documentation_options").getAttribute('data-url_root'), - VERSION: '0.2', + VERSION: '0.3', LANGUAGE: 'zh_CN', COLLAPSE_INDEX: false, BUILDER: 'html', diff --git a/docs/development_guide/build/html/api_reference/api_overview.html b/docs/development_guide/build/html/api_reference/api_overview.html index 6e480d9e..5bec607f 100644 --- a/docs/development_guide/build/html/api_reference/api_overview.html +++ b/docs/development_guide/build/html/api_reference/api_overview.html @@ -7,7 +7,7 @@ - 1. API 概述 — BL_MCU_SDK 开发指南 0.2 文档 + 1. API 概述 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
- 0.2 + 0.3
diff --git a/docs/development_guide/build/html/api_reference/bluetooth/api_ble.html b/docs/development_guide/build/html/api_reference/bluetooth/api_ble.html index 642d0043..582ccb62 100644 --- a/docs/development_guide/build/html/api_reference/bluetooth/api_ble.html +++ b/docs/development_guide/build/html/api_reference/bluetooth/api_ble.html @@ -7,7 +7,7 @@ - 6. BLE — BL_MCU_SDK 开发指南 0.2 文档 + 6. BLE — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
- 0.2 + 0.3
diff --git a/docs/development_guide/build/html/api_reference/board.html b/docs/development_guide/build/html/api_reference/board.html index 825d7d2f..a05bc537 100644 --- a/docs/development_guide/build/html/api_reference/board.html +++ b/docs/development_guide/build/html/api_reference/board.html @@ -7,7 +7,7 @@ - 2. Board 配置系统 — BL_MCU_SDK 开发指南 0.2 文档 + 2. Board 配置系统 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
- 0.2 + 0.3
diff --git a/docs/development_guide/build/html/api_reference/peripheral/api_adc.html b/docs/development_guide/build/html/api_reference/peripheral/api_adc.html index f5d90f21..4828053a 100644 --- a/docs/development_guide/build/html/api_reference/peripheral/api_adc.html +++ b/docs/development_guide/build/html/api_reference/peripheral/api_adc.html @@ -7,7 +7,7 @@ - 3.9. ADC 设备 — BL_MCU_SDK 开发指南 0.2 文档 + 3.9. ADC 设备 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
- 0.2 + 0.3
diff --git a/docs/development_guide/build/html/api_reference/peripheral/api_clock.html b/docs/development_guide/build/html/api_reference/peripheral/api_clock.html index a9f24f04..3efd9b13 100644 --- a/docs/development_guide/build/html/api_reference/peripheral/api_clock.html +++ b/docs/development_guide/build/html/api_reference/peripheral/api_clock.html @@ -7,7 +7,7 @@ - 3.1. 时钟树 — BL_MCU_SDK 开发指南 0.2 文档 + 3.1. 时钟树 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
- 0.2 + 0.3
diff --git a/docs/development_guide/build/html/api_reference/peripheral/api_dac.html b/docs/development_guide/build/html/api_reference/peripheral/api_dac.html index c67c633e..6d525074 100644 --- a/docs/development_guide/build/html/api_reference/peripheral/api_dac.html +++ b/docs/development_guide/build/html/api_reference/peripheral/api_dac.html @@ -7,7 +7,7 @@ - 3.10. DAC 设备 — BL_MCU_SDK 开发指南 0.2 文档 + 3.10. DAC 设备 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
- 0.2 + 0.3
diff --git a/docs/development_guide/build/html/api_reference/peripheral/api_dma.html b/docs/development_guide/build/html/api_reference/peripheral/api_dma.html index 4e996670..e0b512be 100644 --- a/docs/development_guide/build/html/api_reference/peripheral/api_dma.html +++ b/docs/development_guide/build/html/api_reference/peripheral/api_dma.html @@ -7,7 +7,7 @@ - 3.5. DMA 设备 — BL_MCU_SDK 开发指南 0.2 文档 + 3.5. DMA 设备 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
- 0.2 + 0.3
diff --git a/docs/development_guide/build/html/api_reference/peripheral/api_gpio.html b/docs/development_guide/build/html/api_reference/peripheral/api_gpio.html index aca33081..e06fe8ac 100644 --- a/docs/development_guide/build/html/api_reference/peripheral/api_gpio.html +++ b/docs/development_guide/build/html/api_reference/peripheral/api_gpio.html @@ -7,7 +7,7 @@ - 3.2. GPIO 设备 — BL_MCU_SDK 开发指南 0.2 文档 + 3.2. GPIO 设备 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
- 0.2 + 0.3
diff --git a/docs/development_guide/build/html/api_reference/peripheral/api_i2c.html b/docs/development_guide/build/html/api_reference/peripheral/api_i2c.html index 56390bab..0eb7bcfb 100644 --- a/docs/development_guide/build/html/api_reference/peripheral/api_i2c.html +++ b/docs/development_guide/build/html/api_reference/peripheral/api_i2c.html @@ -7,7 +7,7 @@ - 3.6. I2C 设备 — BL_MCU_SDK 开发指南 0.2 文档 + 3.6. I2C 设备 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
- 0.2 + 0.3
diff --git a/docs/development_guide/build/html/api_reference/peripheral/api_i2s.html b/docs/development_guide/build/html/api_reference/peripheral/api_i2s.html index 3f39c0fa..da8dface 100644 --- a/docs/development_guide/build/html/api_reference/peripheral/api_i2s.html +++ b/docs/development_guide/build/html/api_reference/peripheral/api_i2s.html @@ -7,7 +7,7 @@ - 3.7. I2S 设备 — BL_MCU_SDK 开发指南 0.2 文档 + 3.7. I2S 设备 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
- 0.2 + 0.3
diff --git a/docs/development_guide/build/html/api_reference/peripheral/api_pwm.html b/docs/development_guide/build/html/api_reference/peripheral/api_pwm.html index cc232c3b..370781d7 100644 --- a/docs/development_guide/build/html/api_reference/peripheral/api_pwm.html +++ b/docs/development_guide/build/html/api_reference/peripheral/api_pwm.html @@ -7,7 +7,7 @@ - 3.4. PWM 设备 — BL_MCU_SDK 开发指南 0.2 文档 + 3.4. PWM 设备 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
- 0.2 + 0.3
@@ -117,8 +117,9 @@
  • 3.4.4.5. device_set_callback
  • 3.4.4.6. pwm_channel_start
  • 3.4.4.7. pwm_channel_stop
  • -
  • 3.4.4.8. pwm_channel_update
  • -
  • 3.4.4.9. pwm_it_pulse_count_update
  • +
  • 3.4.4.8. pwm_channel_freq_update
  • +
  • 3.4.4.9. pwm_channel_dutycycle_update
  • +
  • 3.4.4.10. pwm_it_pulse_count_update
  • @@ -489,19 +490,30 @@
  • dev 需要关闭的pwm通道句柄

  • -
    -

    3.4.4.8. pwm_channel_update

    -

    pwm_channel_update 用于更新PWM通道的频率和占空比。实际是调用 device_control ,其中 cmdDEVICE_CTRL_CONFIG

    -
    pwm_channel_update(dev,cfg)
    +
    +

    3.4.4.8. pwm_channel_freq_update

    +

    pwm_channel_freq_update 用于更新PWM通道的频率。实际是调用 device_control ,其中 cmdDEIVCE_CTRL_PWM_FREQUENCE_CONFIG

    +
    pwm_channel_freq_update(dev,count)
     
    • dev 需要更新的pwm通道句柄

    • -
    • cfg pwm_config_t句柄

    • +
    • count 周期值 ,实际频率=pwm_clk/pwm_div/count

    • +
    +
    +
    +

    3.4.4.9. pwm_channel_dutycycle_update

    +

    pwm_channel_dutycycle_update 用于更新PWM通道的频率。实际是调用 device_control ,其中 cmdDEIVCE_CTRL_PWM_DUTYCYCLE_CONFIG

    +
    pwm_channel_dutycycle_update(dev,cfg)
    +
    +
    +
      +
    • dev 需要更新周期计数值的pwm通道句柄

    • +
    • cfg pwm_dutycycle_config_t句柄

    -

    3.4.4.9. pwm_it_pulse_count_update

    +

    3.4.4.10. pwm_it_pulse_count_update

    pwm_it_pulse_count_update 用于更新PWM通道的计数值,需要先使能PWM中断才起作用,当pwm计数达到设置的周期计数值则会产生中断。实际是调用 device_control ,其中 cmdDEIVCE_CTRL_PWM_IT_PULSE_COUNT_CONFIG

    pwm_it_pulse_count_update(dev,count)
     
    diff --git a/docs/development_guide/build/html/api_reference/peripheral/api_spi.html b/docs/development_guide/build/html/api_reference/peripheral/api_spi.html index eeb46542..70c77da5 100644 --- a/docs/development_guide/build/html/api_reference/peripheral/api_spi.html +++ b/docs/development_guide/build/html/api_reference/peripheral/api_spi.html @@ -7,7 +7,7 @@ - 3.8. SPI 设备 — BL_MCU_SDK 开发指南 0.2 文档 + 3.8. SPI 设备 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/api_reference/peripheral/api_timer.html b/docs/development_guide/build/html/api_reference/peripheral/api_timer.html index 88290660..38a335d0 100644 --- a/docs/development_guide/build/html/api_reference/peripheral/api_timer.html +++ b/docs/development_guide/build/html/api_reference/peripheral/api_timer.html @@ -7,7 +7,7 @@ - 3.11. TIMER 设备 — BL_MCU_SDK 开发指南 0.2 文档 + 3.11. TIMER 设备 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/api_reference/peripheral/api_uart.html b/docs/development_guide/build/html/api_reference/peripheral/api_uart.html index e9239dac..b2bffb1c 100644 --- a/docs/development_guide/build/html/api_reference/peripheral/api_uart.html +++ b/docs/development_guide/build/html/api_reference/peripheral/api_uart.html @@ -7,7 +7,7 @@ - 3.3. UART 设备 — BL_MCU_SDK 开发指南 0.2 文档 + 3.3. UART 设备 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/api_reference/peripheral/api_usb.html b/docs/development_guide/build/html/api_reference/peripheral/api_usb.html index d8c668bc..76a2c809 100644 --- a/docs/development_guide/build/html/api_reference/peripheral/api_usb.html +++ b/docs/development_guide/build/html/api_reference/peripheral/api_usb.html @@ -7,7 +7,7 @@ - 3.12. USB 设备 — BL_MCU_SDK 开发指南 0.2 文档 + 3.12. USB 设备 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/api_reference/peripheral/index.html b/docs/development_guide/build/html/api_reference/peripheral/index.html index 9d861c52..c0a70ac9 100644 --- a/docs/development_guide/build/html/api_reference/peripheral/index.html +++ b/docs/development_guide/build/html/api_reference/peripheral/index.html @@ -7,7 +7,7 @@ - 3. Peripheral — BL_MCU_SDK 开发指南 0.2 文档 + 3. Peripheral — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/api_reference/shell/api_shell.html b/docs/development_guide/build/html/api_reference/shell/api_shell.html index 8e0aa295..f1c8e659 100644 --- a/docs/development_guide/build/html/api_reference/shell/api_shell.html +++ b/docs/development_guide/build/html/api_reference/shell/api_shell.html @@ -7,7 +7,7 @@ - 4. Shell — BL_MCU_SDK 开发指南 0.2 文档 + 4. Shell — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/api_reference/usb stack/api_usb_stack.html b/docs/development_guide/build/html/api_reference/usb stack/api_usb_stack.html index 14c70d3e..261d5fef 100644 --- a/docs/development_guide/build/html/api_reference/usb stack/api_usb_stack.html +++ b/docs/development_guide/build/html/api_reference/usb stack/api_usb_stack.html @@ -7,7 +7,7 @@ - 5. USB Stack — BL_MCU_SDK 开发指南 0.2 文档 + 5. USB Stack — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/genindex.html b/docs/development_guide/build/html/genindex.html index 4bbeea85..c38dbb84 100644 --- a/docs/development_guide/build/html/genindex.html +++ b/docs/development_guide/build/html/genindex.html @@ -7,7 +7,7 @@ - 索引 — BL_MCU_SDK 开发指南 0.2 文档 + 索引 — BL_MCU_SDK 开发指南 0.3 文档 @@ -62,7 +62,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/get_started/Linux_quick_start_ubuntu.html b/docs/development_guide/build/html/get_started/Linux_quick_start_ubuntu.html index d787211f..8423fc19 100644 --- a/docs/development_guide/build/html/get_started/Linux_quick_start_ubuntu.html +++ b/docs/development_guide/build/html/get_started/Linux_quick_start_ubuntu.html @@ -7,7 +7,7 @@ - 2.3. Linux OR WSL 环境开发指南 — BL_MCU_SDK 开发指南 0.2 文档 + 2.3. Linux OR WSL 环境开发指南 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/get_started/Windows_quick_start_cdk.html b/docs/development_guide/build/html/get_started/Windows_quick_start_cdk.html index 004d7400..dff84891 100644 --- a/docs/development_guide/build/html/get_started/Windows_quick_start_cdk.html +++ b/docs/development_guide/build/html/get_started/Windows_quick_start_cdk.html @@ -7,7 +7,7 @@ - 2.1. Windows 下使用 CDK (类 MDK Keil)开发指南 — BL_MCU_SDK 开发指南 0.2 文档 + 2.1. Windows 下使用 CDK (类 MDK Keil)开发指南 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/get_started/Windows_quick_start_eclipse.html b/docs/development_guide/build/html/get_started/Windows_quick_start_eclipse.html index 80270f32..2cf08f6f 100644 --- a/docs/development_guide/build/html/get_started/Windows_quick_start_eclipse.html +++ b/docs/development_guide/build/html/get_started/Windows_quick_start_eclipse.html @@ -7,7 +7,7 @@ - 2.2. Windows 下使用 Eclipse 开发指南 — BL_MCU_SDK 开发指南 0.2 文档 + 2.2. Windows 下使用 Eclipse 开发指南 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/get_started/bl_dev_cube.html b/docs/development_guide/build/html/get_started/bl_dev_cube.html index b0256475..0ab331f8 100644 --- a/docs/development_guide/build/html/get_started/bl_dev_cube.html +++ b/docs/development_guide/build/html/get_started/bl_dev_cube.html @@ -7,7 +7,7 @@ - 3. BLDevCube 烧录工具指南 — BL_MCU_SDK 开发指南 0.2 文档 + 3. BLDevCube 烧录工具指南 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/get_started/cdk_ck_link.html b/docs/development_guide/build/html/get_started/cdk_ck_link.html index aea35eb2..b8977b08 100644 --- a/docs/development_guide/build/html/get_started/cdk_ck_link.html +++ b/docs/development_guide/build/html/get_started/cdk_ck_link.html @@ -7,7 +7,7 @@ - 使用 CDK + CK-Link 编译调试 — BL_MCU_SDK 开发指南 0.2 文档 + 使用 CDK + CK-Link 编译调试 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/get_started/cdk_rv_debugger_plus.html b/docs/development_guide/build/html/get_started/cdk_rv_debugger_plus.html index fb730098..8071ffb7 100644 --- a/docs/development_guide/build/html/get_started/cdk_rv_debugger_plus.html +++ b/docs/development_guide/build/html/get_started/cdk_rv_debugger_plus.html @@ -7,7 +7,7 @@ - 使用 CDK + Sipeed RV-Debugger Plus 编译调试 — BL_MCU_SDK 开发指南 0.2 文档 + 使用 CDK + Sipeed RV-Debugger Plus 编译调试 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/get_started/cmake_quick_start.html b/docs/development_guide/build/html/get_started/cmake_quick_start.html index f39baee6..fde84412 100644 --- a/docs/development_guide/build/html/get_started/cmake_quick_start.html +++ b/docs/development_guide/build/html/get_started/cmake_quick_start.html @@ -7,7 +7,7 @@ - 4. 基于 cmake 框架新建工程指南 — BL_MCU_SDK 开发指南 0.2 文档 + 4. 基于 cmake 框架新建工程指南 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/get_started/connecting_hardware.html b/docs/development_guide/build/html/get_started/connecting_hardware.html index 0dbacaa7..0210bf18 100644 --- a/docs/development_guide/build/html/get_started/connecting_hardware.html +++ b/docs/development_guide/build/html/get_started/connecting_hardware.html @@ -7,7 +7,7 @@ - 5. 硬件连接指南 — BL_MCU_SDK 开发指南 0.2 文档 + 5. 硬件连接指南 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/get_started/get_started.html b/docs/development_guide/build/html/get_started/get_started.html index 546c88b1..00f5ee12 100644 --- a/docs/development_guide/build/html/get_started/get_started.html +++ b/docs/development_guide/build/html/get_started/get_started.html @@ -7,7 +7,7 @@ - 1. 开发前的准备 — BL_MCU_SDK 开发指南 0.2 文档 + 1. 开发前的准备 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    @@ -287,7 +287,7 @@

    重要

      -
    1. 若在设备管理器中没有串口,显示其他设备,请到 FTDI 官网 下载与系统匹配的驱动

    2. +
    3. 若在设备管理器中没有显示串口,只显示其他设备,或者只在通用串行总线控制器中看到 USB Serial Converter AUSB Serial Converter B,请到 FTDI 官网 下载与系统匹配的驱动

    @@ -338,7 +338,7 @@

    1.3.2. Linux

      -
    • 首先,将调试器 Type-C USB 接口使用 USB 数据线连接到 PC 主机,执行以下命令,查看是否存在

    • +
    • 首先,将调试器 Type-C USB 接口使用 USB 数据线连接到 PC 主机,打开 Terminal,在终端中输入 lsusb 命令,即可看到如下信息的设备

    $ lsusb
     
    @@ -347,15 +347,19 @@ ../_images/sipeed_rv_debugger_8.png
      -
    • 安装 Openocd 及其需要的依赖项

    • +
    • 如果上述图中不显示 FT2232C 字样,需要安装 ftdi 驱动

    -
    $ apt install openocd  libusb-dev libftdi-dev libhidapi-dev
    +
    $ sudo apt install libusb-dev libftdi-dev libhidapi-dev
     
    • 重新插拔调试器使修改生效

    • -
    • 打开 Terminal,在终端中输入 lsusb 命令,即可看到如下信息的设备

    • +
    • 调试代码需要安装 openocd,使用 openocd 0.11 版本

    +
    +

    注解

    +

    在 linux 中,串口是使用 /dev/ttyUSB1,调试口使用 /dev/ttyUSB0,如果显示 /dev/ttyACM0 则表示进入 boot 模式

    +
    diff --git a/docs/development_guide/build/html/get_started/index.html b/docs/development_guide/build/html/get_started/index.html index 0f5bd6d7..1df42f11 100644 --- a/docs/development_guide/build/html/get_started/index.html +++ b/docs/development_guide/build/html/get_started/index.html @@ -7,7 +7,7 @@ - 2. 开发环境搭建指南 — BL_MCU_SDK 开发指南 0.2 文档 + 2. 开发环境搭建指南 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/index.html b/docs/development_guide/build/html/index.html index 59b74cff..66f552d1 100644 --- a/docs/development_guide/build/html/index.html +++ b/docs/development_guide/build/html/index.html @@ -7,7 +7,7 @@ - BL MCU SDK 开发指南 — BL_MCU_SDK 开发指南 0.2 文档 + BL MCU SDK 开发指南 — BL_MCU_SDK 开发指南 0.3 文档 @@ -63,7 +63,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/objects.inv b/docs/development_guide/build/html/objects.inv index 62cfd340..e38f35a7 100644 Binary files a/docs/development_guide/build/html/objects.inv and b/docs/development_guide/build/html/objects.inv differ diff --git a/docs/development_guide/build/html/samples/advance samples/ble_scan_demo.html b/docs/development_guide/build/html/samples/advance samples/ble_scan_demo.html index f98a7879..fc6c36bf 100644 --- a/docs/development_guide/build/html/samples/advance samples/ble_scan_demo.html +++ b/docs/development_guide/build/html/samples/advance samples/ble_scan_demo.html @@ -7,7 +7,7 @@ - 6. BLE 客户端和服务端互连 — BL_MCU_SDK 开发指南 0.2 文档 + 6. BLE 客户端和服务端互连 — BL_MCU_SDK 开发指南 0.3 文档 @@ -63,7 +63,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/advance samples/boot2_iap_info.html b/docs/development_guide/build/html/samples/advance samples/boot2_iap_info.html index cf3efcbe..d3d74748 100644 --- a/docs/development_guide/build/html/samples/advance samples/boot2_iap_info.html +++ b/docs/development_guide/build/html/samples/advance samples/boot2_iap_info.html @@ -7,7 +7,7 @@ - 5. BOOT2 IAP — BL_MCU_SDK 开发指南 0.2 文档 + 5. BOOT2 IAP — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/advance samples/fatfs_demo.html b/docs/development_guide/build/html/samples/advance samples/fatfs_demo.html index 922c16e3..731cb9e7 100644 --- a/docs/development_guide/build/html/samples/advance samples/fatfs_demo.html +++ b/docs/development_guide/build/html/samples/advance samples/fatfs_demo.html @@ -7,7 +7,7 @@ - 2. Fatfs 文件系统读写 — BL_MCU_SDK 开发指南 0.2 文档 + 2. Fatfs 文件系统读写 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/advance samples/lowpower_demo.html b/docs/development_guide/build/html/samples/advance samples/lowpower_demo.html index 2c932dd1..773c2d41 100644 --- a/docs/development_guide/build/html/samples/advance samples/lowpower_demo.html +++ b/docs/development_guide/build/html/samples/advance samples/lowpower_demo.html @@ -7,7 +7,7 @@ - 4. LowPower 低功耗评估 — BL_MCU_SDK 开发指南 0.2 文档 + 4. LowPower 低功耗评估 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/advance samples/shell_demo.html b/docs/development_guide/build/html/samples/advance samples/shell_demo.html index 5ac7b22b..2bd23b33 100644 --- a/docs/development_guide/build/html/samples/advance samples/shell_demo.html +++ b/docs/development_guide/build/html/samples/advance samples/shell_demo.html @@ -7,7 +7,7 @@ - 1. Shell 命令行调试 — BL_MCU_SDK 开发指南 0.2 文档 + 1. Shell 命令行调试 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/advance samples/usb/index.html b/docs/development_guide/build/html/samples/advance samples/usb/index.html index 3c2fb6f7..2ffb4939 100644 --- a/docs/development_guide/build/html/samples/advance samples/usb/index.html +++ b/docs/development_guide/build/html/samples/advance samples/usb/index.html @@ -7,7 +7,7 @@ - 3. USB Device 应用 — BL_MCU_SDK 开发指南 0.2 文档 + 3. USB Device 应用 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/advance samples/usb/usbd_audio.html b/docs/development_guide/build/html/samples/advance samples/usb/usbd_audio.html index 39bcff7e..21b4cf26 100644 --- a/docs/development_guide/build/html/samples/advance samples/usb/usbd_audio.html +++ b/docs/development_guide/build/html/samples/advance samples/usb/usbd_audio.html @@ -7,7 +7,7 @@ - 3.5. AUDIO - 麦克风 — BL_MCU_SDK 开发指南 0.2 文档 + 3.5. AUDIO - 麦克风 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/advance samples/usb/usbd_cdc.html b/docs/development_guide/build/html/samples/advance samples/usb/usbd_cdc.html index 5df5f14f..0ff0bffd 100644 --- a/docs/development_guide/build/html/samples/advance samples/usb/usbd_cdc.html +++ b/docs/development_guide/build/html/samples/advance samples/usb/usbd_cdc.html @@ -7,7 +7,7 @@ - 3.1. CDC - 虚拟串口 — BL_MCU_SDK 开发指南 0.2 文档 + 3.1. CDC - 虚拟串口 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/advance samples/usb/usbd_hid.html b/docs/development_guide/build/html/samples/advance samples/usb/usbd_hid.html index 4c397e9f..fa9919d8 100644 --- a/docs/development_guide/build/html/samples/advance samples/usb/usbd_hid.html +++ b/docs/development_guide/build/html/samples/advance samples/usb/usbd_hid.html @@ -7,7 +7,7 @@ - 3.3. HID - 键盘&鼠标 — BL_MCU_SDK 开发指南 0.2 文档 + 3.3. HID - 键盘&鼠标 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/advance samples/usb/usbd_msc.html b/docs/development_guide/build/html/samples/advance samples/usb/usbd_msc.html index 7fee4dcd..da4e6d17 100644 --- a/docs/development_guide/build/html/samples/advance samples/usb/usbd_msc.html +++ b/docs/development_guide/build/html/samples/advance samples/usb/usbd_msc.html @@ -7,7 +7,7 @@ - 3.2. MSC - U 盘 — BL_MCU_SDK 开发指南 0.2 文档 + 3.2. MSC - U 盘 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/advance samples/usb/usbd_video.html b/docs/development_guide/build/html/samples/advance samples/usb/usbd_video.html index b965cb83..e4865785 100644 --- a/docs/development_guide/build/html/samples/advance samples/usb/usbd_video.html +++ b/docs/development_guide/build/html/samples/advance samples/usb/usbd_video.html @@ -7,7 +7,7 @@ - 3.4. VIDEO - 摄像头 — BL_MCU_SDK 开发指南 0.2 文档 + 3.4. VIDEO - 摄像头 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/basic samples/adc/adc_key_demo.html b/docs/development_guide/build/html/samples/basic samples/adc/adc_key_demo.html index c0d862d0..523df066 100644 --- a/docs/development_guide/build/html/samples/basic samples/adc/adc_key_demo.html +++ b/docs/development_guide/build/html/samples/basic samples/adc/adc_key_demo.html @@ -7,7 +7,7 @@ - 8.1. ADC - 按键检测电压 — BL_MCU_SDK 开发指南 0.2 文档 + 8.1. ADC - 按键检测电压 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/basic samples/adc/index.html b/docs/development_guide/build/html/samples/basic samples/adc/index.html index f0392205..ba3e273f 100644 --- a/docs/development_guide/build/html/samples/basic samples/adc/index.html +++ b/docs/development_guide/build/html/samples/basic samples/adc/index.html @@ -7,7 +7,7 @@ - 8. ADC 示例 — BL_MCU_SDK 开发指南 0.2 文档 + 8. ADC 示例 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/basic samples/dma/dma_m2m_demo.html b/docs/development_guide/build/html/samples/basic samples/dma/dma_m2m_demo.html index b4d33edc..9e35a6b5 100644 --- a/docs/development_guide/build/html/samples/basic samples/dma/dma_m2m_demo.html +++ b/docs/development_guide/build/html/samples/basic samples/dma/dma_m2m_demo.html @@ -7,7 +7,7 @@ - 5.1. DMA - RAM间数据搬运 — BL_MCU_SDK 开发指南 0.2 文档 + 5.1. DMA - RAM间数据搬运 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/basic samples/dma/index.html b/docs/development_guide/build/html/samples/basic samples/dma/index.html index a9c120f0..35b18200 100644 --- a/docs/development_guide/build/html/samples/basic samples/dma/index.html +++ b/docs/development_guide/build/html/samples/basic samples/dma/index.html @@ -7,7 +7,7 @@ - 5. DMA 示例 — BL_MCU_SDK 开发指南 0.2 文档 + 5. DMA 示例 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/basic samples/gpio/blink_demo.html b/docs/development_guide/build/html/samples/basic samples/gpio/blink_demo.html index d12d2df5..b0e00dd4 100644 --- a/docs/development_guide/build/html/samples/basic samples/gpio/blink_demo.html +++ b/docs/development_guide/build/html/samples/basic samples/gpio/blink_demo.html @@ -7,7 +7,7 @@ - 1.1. GPIO 输出 - 流水灯 — BL_MCU_SDK 开发指南 0.2 文档 + 1.1. GPIO 输出 - 流水灯 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/basic samples/gpio/button_demo.html b/docs/development_guide/build/html/samples/basic samples/gpio/button_demo.html index 45b4cbed..6e9b2c36 100644 --- a/docs/development_guide/build/html/samples/basic samples/gpio/button_demo.html +++ b/docs/development_guide/build/html/samples/basic samples/gpio/button_demo.html @@ -7,7 +7,7 @@ - 1.2. GPIO 中断 - 按键检测 — BL_MCU_SDK 开发指南 0.2 文档 + 1.2. GPIO 中断 - 按键检测 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/basic samples/gpio/index.html b/docs/development_guide/build/html/samples/basic samples/gpio/index.html index e242d548..c9c53b3d 100644 --- a/docs/development_guide/build/html/samples/basic samples/gpio/index.html +++ b/docs/development_guide/build/html/samples/basic samples/gpio/index.html @@ -7,7 +7,7 @@ - 1. GPIO 示例 — BL_MCU_SDK 开发指南 0.2 文档 + 1. GPIO 示例 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/basic samples/i2c/i2c_eeprom_demo.html b/docs/development_guide/build/html/samples/basic samples/i2c/i2c_eeprom_demo.html index 3ccb4954..c6f19dae 100644 --- a/docs/development_guide/build/html/samples/basic samples/i2c/i2c_eeprom_demo.html +++ b/docs/development_guide/build/html/samples/basic samples/i2c/i2c_eeprom_demo.html @@ -7,7 +7,7 @@ - 7.1. I2C - AT24CXX 读写 — BL_MCU_SDK 开发指南 0.2 文档 + 7.1. I2C - AT24CXX 读写 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/basic samples/i2c/index.html b/docs/development_guide/build/html/samples/basic samples/i2c/index.html index 5ebe447d..e445d8d3 100644 --- a/docs/development_guide/build/html/samples/basic samples/i2c/index.html +++ b/docs/development_guide/build/html/samples/basic samples/i2c/index.html @@ -7,7 +7,7 @@ - 7. I2C 示例 — BL_MCU_SDK 开发指南 0.2 文档 + 7. I2C 示例 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/basic samples/mtimer/index.html b/docs/development_guide/build/html/samples/basic samples/mtimer/index.html index c0cd45b6..979d3d13 100644 --- a/docs/development_guide/build/html/samples/basic samples/mtimer/index.html +++ b/docs/development_guide/build/html/samples/basic samples/mtimer/index.html @@ -7,7 +7,7 @@ - 4. MTIMER 示例 — BL_MCU_SDK 开发指南 0.2 文档 + 4. MTIMER 示例 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/basic samples/mtimer/mtimer_demo.html b/docs/development_guide/build/html/samples/basic samples/mtimer/mtimer_demo.html index df8d8de7..df485352 100644 --- a/docs/development_guide/build/html/samples/basic samples/mtimer/mtimer_demo.html +++ b/docs/development_guide/build/html/samples/basic samples/mtimer/mtimer_demo.html @@ -7,7 +7,7 @@ - 4.1. MTIMER - 系统定时器 — BL_MCU_SDK 开发指南 0.2 文档 + 4.1. MTIMER - 系统定时器 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/basic samples/pwm/index.html b/docs/development_guide/build/html/samples/basic samples/pwm/index.html index 6bd2ff2b..0218fa6d 100644 --- a/docs/development_guide/build/html/samples/basic samples/pwm/index.html +++ b/docs/development_guide/build/html/samples/basic samples/pwm/index.html @@ -7,7 +7,7 @@ - 3. PWM 示例 — BL_MCU_SDK 开发指南 0.2 文档 + 3. PWM 示例 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/basic samples/pwm/pwm_breath_demo.html b/docs/development_guide/build/html/samples/basic samples/pwm/pwm_breath_demo.html index c936624f..73bb06fb 100644 --- a/docs/development_guide/build/html/samples/basic samples/pwm/pwm_breath_demo.html +++ b/docs/development_guide/build/html/samples/basic samples/pwm/pwm_breath_demo.html @@ -7,7 +7,7 @@ - 3.1. PWM - 呼吸灯 — BL_MCU_SDK 开发指南 0.2 文档 + 3.1. PWM - 呼吸灯 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/basic samples/pwm/pwm_step_motor.html b/docs/development_guide/build/html/samples/basic samples/pwm/pwm_step_motor.html index e9375888..68810080 100644 --- a/docs/development_guide/build/html/samples/basic samples/pwm/pwm_step_motor.html +++ b/docs/development_guide/build/html/samples/basic samples/pwm/pwm_step_motor.html @@ -7,7 +7,7 @@ - 3.2. PWM - 驱动步进电机 — BL_MCU_SDK 开发指南 0.2 文档 + 3.2. PWM - 驱动步进电机 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    @@ -262,7 +262,7 @@
  • 软件代码见 examples/pwm/pwm_step_motor

  • 1
    -2
    #define BSP_PWM_CLOCK_SOURCE  ROOT_CLOCK_SOURCE_RC_32K
    +2
    #define BSP_PWM_CLOCK_SOURCE  ROOT_CLOCK_SOURCE_32K_CLK
     #define BSP_PWM_CLOCK_DIV  32
     
    diff --git a/docs/development_guide/build/html/samples/basic samples/spi/index.html b/docs/development_guide/build/html/samples/basic samples/spi/index.html index df0c1d5a..9e405675 100644 --- a/docs/development_guide/build/html/samples/basic samples/spi/index.html +++ b/docs/development_guide/build/html/samples/basic samples/spi/index.html @@ -7,7 +7,7 @@ - 6. SPI 示例 — BL_MCU_SDK 开发指南 0.2 文档 + 6. SPI 示例 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/basic samples/spi/spi_lcd_demo.html b/docs/development_guide/build/html/samples/basic samples/spi/spi_lcd_demo.html index c4460a13..8c772d25 100644 --- a/docs/development_guide/build/html/samples/basic samples/spi/spi_lcd_demo.html +++ b/docs/development_guide/build/html/samples/basic samples/spi/spi_lcd_demo.html @@ -7,7 +7,7 @@ - 6.1. SPI - TFT LCD 显示 — BL_MCU_SDK 开发指南 0.2 文档 + 6.1. SPI - TFT LCD 显示 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/basic samples/uart/index.html b/docs/development_guide/build/html/samples/basic samples/uart/index.html index 021bf371..c171670d 100644 --- a/docs/development_guide/build/html/samples/basic samples/uart/index.html +++ b/docs/development_guide/build/html/samples/basic samples/uart/index.html @@ -7,7 +7,7 @@ - 2. UART 示例 — BL_MCU_SDK 开发指南 0.2 文档 + 2. UART 示例 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/samples/basic samples/uart/uart_loopback_demo.html b/docs/development_guide/build/html/samples/basic samples/uart/uart_loopback_demo.html index 35e27668..22846e34 100644 --- a/docs/development_guide/build/html/samples/basic samples/uart/uart_loopback_demo.html +++ b/docs/development_guide/build/html/samples/basic samples/uart/uart_loopback_demo.html @@ -7,7 +7,7 @@ - 2.1. UART - 数据自收发 — BL_MCU_SDK 开发指南 0.2 文档 + 2.1. UART - 数据自收发 — BL_MCU_SDK 开发指南 0.3 文档 @@ -64,7 +64,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/search.html b/docs/development_guide/build/html/search.html index 4028aaa4..482d29d0 100644 --- a/docs/development_guide/build/html/search.html +++ b/docs/development_guide/build/html/search.html @@ -7,7 +7,7 @@ - 搜索 — BL_MCU_SDK 开发指南 0.2 文档 + 搜索 — BL_MCU_SDK 开发指南 0.3 文档 @@ -65,7 +65,7 @@
    - 0.2 + 0.3
    diff --git a/docs/development_guide/build/html/searchindex.js b/docs/development_guide/build/html/searchindex.js index 085d109c..7580f8bf 100644 --- a/docs/development_guide/build/html/searchindex.js +++ b/docs/development_guide/build/html/searchindex.js @@ -1 +1 @@ -Search.setIndex({docnames:["api_reference/api_overview","api_reference/bluetooth/api_ble","api_reference/board","api_reference/peripheral/api_adc","api_reference/peripheral/api_clock","api_reference/peripheral/api_dac","api_reference/peripheral/api_dma","api_reference/peripheral/api_gpio","api_reference/peripheral/api_i2c","api_reference/peripheral/api_i2s","api_reference/peripheral/api_pwm","api_reference/peripheral/api_spi","api_reference/peripheral/api_timer","api_reference/peripheral/api_uart","api_reference/peripheral/api_usb","api_reference/peripheral/index","api_reference/shell/api_shell","api_reference/usb stack/api_usb_stack","get_started/Linux_quick_start_ubuntu","get_started/Windows_quick_start_cdk","get_started/Windows_quick_start_eclipse","get_started/bl_dev_cube","get_started/cdk_ck_link","get_started/cdk_rv_debugger_plus","get_started/cmake_quick_start","get_started/connecting_hardware","get_started/get_started","get_started/index","index","samples/advance samples/ble_scan_demo","samples/advance samples/boot2_iap_info","samples/advance samples/fatfs_demo","samples/advance samples/lowpower_demo","samples/advance samples/shell_demo","samples/advance samples/usb/index","samples/advance samples/usb/usbd_audio","samples/advance samples/usb/usbd_cdc","samples/advance samples/usb/usbd_hid","samples/advance samples/usb/usbd_msc","samples/advance samples/usb/usbd_video","samples/basic samples/adc/adc_key_demo","samples/basic samples/adc/index","samples/basic samples/dma/dma_m2m_demo","samples/basic samples/dma/index","samples/basic samples/gpio/blink_demo","samples/basic samples/gpio/button_demo","samples/basic samples/gpio/index","samples/basic samples/i2c/i2c_eeprom_demo","samples/basic samples/i2c/index","samples/basic samples/mtimer/index","samples/basic samples/mtimer/mtimer_demo","samples/basic samples/pwm/index","samples/basic samples/pwm/pwm_breath_demo","samples/basic samples/pwm/pwm_step_motor","samples/basic samples/spi/index","samples/basic samples/spi/spi_lcd_demo","samples/basic samples/uart/index","samples/basic samples/uart/uart_loopback_demo"],envversion:{"sphinx.domains.c":2,"sphinx.domains.changeset":1,"sphinx.domains.citation":1,"sphinx.domains.cpp":3,"sphinx.domains.index":1,"sphinx.domains.javascript":2,"sphinx.domains.math":2,"sphinx.domains.python":2,"sphinx.domains.rst":2,"sphinx.domains.std":2,sphinx:56},filenames:["api_reference\\api_overview.rst","api_reference\\bluetooth\\api_ble.rst","api_reference\\board.rst","api_reference\\peripheral\\api_adc.rst","api_reference\\peripheral\\api_clock.rst","api_reference\\peripheral\\api_dac.rst","api_reference\\peripheral\\api_dma.rst","api_reference\\peripheral\\api_gpio.rst","api_reference\\peripheral\\api_i2c.rst","api_reference\\peripheral\\api_i2s.rst","api_reference\\peripheral\\api_pwm.rst","api_reference\\peripheral\\api_spi.rst","api_reference\\peripheral\\api_timer.rst","api_reference\\peripheral\\api_uart.rst","api_reference\\peripheral\\api_usb.rst","api_reference\\peripheral\\index.rst","api_reference\\shell\\api_shell.rst","api_reference\\usb stack\\api_usb_stack.rst","get_started\\Linux_quick_start_ubuntu.rst","get_started\\Windows_quick_start_cdk.rst","get_started\\Windows_quick_start_eclipse.rst","get_started\\bl_dev_cube.rst","get_started\\cdk_ck_link.rst","get_started\\cdk_rv_debugger_plus.rst","get_started\\cmake_quick_start.rst","get_started\\connecting_hardware.rst","get_started\\get_started.rst","get_started\\index.rst","index.rst","samples\\advance samples\\ble_scan_demo.rst","samples\\advance samples\\boot2_iap_info.rst","samples\\advance samples\\fatfs_demo.rst","samples\\advance samples\\lowpower_demo.rst","samples\\advance samples\\shell_demo.rst","samples\\advance samples\\usb\\index.rst","samples\\advance samples\\usb\\usbd_audio.rst","samples\\advance samples\\usb\\usbd_cdc.rst","samples\\advance samples\\usb\\usbd_hid.rst","samples\\advance samples\\usb\\usbd_msc.rst","samples\\advance samples\\usb\\usbd_video.rst","samples\\basic samples\\adc\\adc_key_demo.rst","samples\\basic samples\\adc\\index.rst","samples\\basic samples\\dma\\dma_m2m_demo.rst","samples\\basic samples\\dma\\index.rst","samples\\basic samples\\gpio\\blink_demo.rst","samples\\basic samples\\gpio\\button_demo.rst","samples\\basic samples\\gpio\\index.rst","samples\\basic samples\\i2c\\i2c_eeprom_demo.rst","samples\\basic samples\\i2c\\index.rst","samples\\basic samples\\mtimer\\index.rst","samples\\basic samples\\mtimer\\mtimer_demo.rst","samples\\basic samples\\pwm\\index.rst","samples\\basic samples\\pwm\\pwm_breath_demo.rst","samples\\basic samples\\pwm\\pwm_step_motor.rst","samples\\basic samples\\spi\\index.rst","samples\\basic samples\\spi\\spi_lcd_demo.rst","samples\\basic samples\\uart\\index.rst","samples\\basic samples\\uart\\uart_loopback_demo.rst"],objects:{"":{SHELL_CMD_EXPORT:[16,0,1,"c.SHELL_CMD_EXPORT"],SHELL_CMD_EXPORT_ALIAS:[16,0,1,"c.SHELL_CMD_EXPORT_ALIAS"]}},objnames:{"0":["c","macro","C \u5b8f"]},objtypes:{"0":"c:macro"},terms:{"0v":[3,40],"0x":47,"0x00":[1,47],"0x0000":8,"0x00000000":6,"0x00000001":6,"0x00000002":6,"0x00000003":6,"0x00000006":6,"0x00000007":6,"0x0000000a":6,"0x0000000b":6,"0x00000014":6,"0x00000015":6,"0x00000016":6,"0x00000017":6,"0x00000018":6,"0x00000019":6,"0x0000001a":6,"0x0000001b":6,"0x0000001c":6,"0x0000001d":6,"0x0000001e":6,"0x0000001f":6,"0x0001":8,"0x001":[0,3,6,8,9,10,11,13],"0x0010":8,"0x0018":1,"0x002":[0,3,6,8,9,10,11,13],"0x0020":8,"0x0028":1,"0x004":[0,3,6,8,10,11,13],"0x0040":8,"0x008":[0,3,6,8,10,11,13],"0x00a0":1,"0x00f0":1,"0x01":[0,1,12,29],"0x010":[0,3,6,8,9,10,11,13],"0x0180":29,"0x02":[0,12,29],"0x020":[0,3,6,8,9,10,11,13],"0x03":[0,29],"0x04":[0,29],"0x05":[0,29],"0x06":[0,29],"0x07":[0,29],"0x08":[0,29],"0x09":[0,29],"0x0a":0,"0x0b":0,"0x0c":0,"0x0d":0,"0x0e":0,"0x0f":0,"0x10":[3,6,9,10,11,13],"0x1000":0,"0x11":[3,6,9,13],"0x12":[3,6,29],"0x13":[3,6,29],"0x14":3,"0x15":3,"0x16":3,"0x18":29,"0x1812":29,"0x2000":0,"0x3000":0,"0x50":47,"0x71":29,"0x80":[12,29],"0x81":12,"0x82":12,"0xff":42,"10":[7,18,29,32],"100":10,"1000":[9,40],"1000000":[50,52],"11":[7,29],"12":[3,7,29],"125hz":53,"13":[1,7,29],"14":[3,29,32],"14bit":3,"15":[8,29,39,47],"16":[3,6,9,10,12,29,52],"160":53,"16bit":3,"17":29,"18":[26,29],"18000000":11,"19":[18,29],"1m":50,"1mhz":52,"1s":[32,50],"1v":40,"20":29,"2000000":[13,18,33,57],"20210120":18,"24":9,"244":29,"256":12,"25hz":53,"28":32,"28byj48":53,"2f":40,"2m":21,"2mhz":3,"2v":[3,40],"31":32,"32":[6,9,12,42,52,53],"32768":32,"32k":[10,32,53],"32m":[32,52],"360":53,"36000000":55,"37":53,"3v":40,"3v3":25,"400":1,"4096":53,"40m":11,"43v":40,"4ghz":1,"4k":32,"50":[10,52],"625":[1,53],"64":[14,50,53],"64k":32,"68":32,"8000":42,"break":13,"case":[20,24],"char":[0,1,3,6,8,9,10,11,12,13,14,29,33],"class":17,"const":[0,1,3,6,8,9,10,11,12,13,14,29],"default":25,"enum":[0,1,3,4,6,8,9,10,11,12,13,14,53],"export":18,"for":[1,9,18,33,39,42,52],"function":[33,39,40,44,45,47,52,53,55,57],"if":[1,2,3,6,8,9,10,11,12,13,29,33,39,40,42,47,52,53,55,57],"import":20,"in":[1,14,29,30,39],"int":[0,1,3,6,7,8,9,10,11,12,13,14,29,33],"null":[0,1,3,6,10,11,12,13,14,29,33,42,57],"public":1,"return":[0,1,3,6,7,8,9,10,11,12,13,14,17,29,33],"static":[3,6,8,9,10,11,13,29,45,50],"try":1,"void":[0,1,2,3,6,7,9,10,11,12,13,14,16,17,29,33,40,42,45,50,53,55,57],"while":[29,32],"with":1,__hal_rcc_gpiob_clk_en:2,__hal_rcc_uart5_clk_en:2,access:[1,6],ack:14,acknowledg:1,acl:1,activ:1,ad:1,ad_discov:1,ad_len:1,adc0:[3,6,40],adc0_config:[3,40],adc0_index:[3,40],adc:[0,15,28],adc_channel_cfg:40,adc_channel_cfg_t:[3,40],adc_channel_start:40,adc_clk_div_t:3,adc_clock_div_32:[3,40],adc_clos:3,adc_control:3,adc_data_width_16b_with_256_averag:[3,40],adc_data_width_t:3,adc_dev:[3,40],adc_devic:3,adc_device_t:3,adc_event_fifo_readi:3,adc_event_overrun:3,adc_event_typ:3,adc_event_underrun:3,adc_fifo_threshold_1byt:[3,40],adc_fifo_threshold_t:3,adc_gain_1:[3,40],adc_index_typ:3,adc_it_typ:3,adc_kei:40,adc_max_index:3,adc_open:3,adc_param_cfg_t:3,adc_pga_gain_t:3,adc_read:3,adc_regist:40,adc_start:3,adc_stop:3,adc_vref_3p2v:[3,40],adc_vref_t:3,adcx_devic:3,add:22,addr:[1,14],addr_typ:1,address:1,adv:[1,29],adv_addr:1,adv_data:29,adv_nam:29,adv_param:29,advanc:21,advertis:1,after:1,alia:16,all:[2,21,26],altern:2,an:1,analog:3,and:[1,2],ani:1,aon:32,api:[1,28],app:[18,20,24,29,32,33,39,40,42,44,45,47,50,52,53,55,57],appear:1,appli:20,applic:[1,20,30],apt:[18,26],are:1,arg:[0,3,6,9,10,11,12,13,14,33,42,57],argc:33,argv:33,array_s:29,as:[1,9,29],asynchron:13,at24cxx:48,atomic_defin:1,atomic_t:1,attr:29,attribut:1,audio:34,avb:[25,39],bashrc:18,baudrat:[13,33,57],bclk:[9,10],be:[1,29],bearer:1,befor:1,begin:2,bflb_mcu_prefer:20,bflb_platform_delay_m:52,bflb_platform_init:[33,57],bflb_platform_set_alarm_tim:50,bilibili:17,bin:[18,20,21,22,23,30],bit:[1,3,9,10,12,13],bl602:1,bl702:[18,19,20,26,28,29],bl702_avb:55,bl702_bl704_bl706_ds_en_combo_1:32,bl702_driver:55,bl702_iot:25,bl706:[20,25,30,32,39],bl706_avb:[26,39,40,55],bl706_iot:[18,20,24,26,29,33,42,44,45,47,50,52,53,57],bl706_lp:32,bl70x:[14,25,28,32],bl70x_flash:22,bl70x_flasher:22,bl:[7,23,32],bl_clean:20,bl_config_wizard:2,bl_make:20,bl_mcu_sdk:[0,24,29,30,32,33,39,40,42,44,45,47,50,52,53,55,57],bl_test_01:29,bldevcub:[23,28,29,33,39,40,42,44,45,47,50,52,53,55,57],ble:28,ble_centr:29,ble_peripher:29,ble_start_adv:29,ble_start_scan:29,ble_tp_conn:29,ble_tp_conn_callback:29,ble_tp_connect:29,ble_tp_disconnect:29,ble_tp_init:29,ble_tp_notify_task:29,ble_tp_recv_wr:29,ble_write_data:29,ble_write_data_task:29,bluetooth:1,board:[3,4,6,7,8,9,10,11,12,13,18,20,24,25,28,29,32,33,39,40,42,44,45,47,50,52,53,55,57],board_get_debug_uart_index:[33,57],bool:[1,3],boot2:28,boot2_iap:30,boot:[18,21,25,26],boot_rom:18,borad:20,bouffalo:[20,25,26,30],bouffalolab:[18,19,20,21],boufflao:[2,32],br:1,breath:52,broadcast:1,brows:21,bsp:[2,3,4,6,7,8,9,10,11,12,13,33,39,40,42,47,52,53,55,57],bsp_adc_clock_div:40,bsp_adc_clock_sourc:40,bsp_cam_clock_div:39,bsp_cam_clock_sourc:39,bsp_common:39,bsp_i2c_clock_div:[39,47],bsp_i2c_clock_sourc:[39,47],bsp_image_sensor:39,bsp_pwm_clock_div:[52,53],bsp_pwm_clock_sourc:[52,53],bsp_spi_clock_div:55,bsp_spi_clock_sourc:55,bsp_uart_clock_div:[33,57],bsp_uart_clock_sourc:[33,57],bsp_using_adc0:[3,40],bsp_using_cam:39,bsp_using_dma0_ch0:[6,42],bsp_using_dma0_ch1:6,bsp_using_dma0_ch2:[6,39],bsp_using_dma0_ch3:6,bsp_using_dma0_ch4:6,bsp_using_dma0_ch5:6,bsp_using_dma0_ch6:6,bsp_using_dma0_ch7:6,bsp_using_dma_ch0:6,bsp_using_i2c0:[8,39,47],bsp_using_i2s0:9,bsp_using_pwm_ch0:[10,53],bsp_using_pwm_ch1:[10,53],bsp_using_pwm_ch2:[10,52,53],bsp_using_pwm_ch3:[10,53],bsp_using_pwm_ch4:10,bsp_using_spi0:[11,55],bsp_using_timer_ch0:12,bsp_using_timer_ch1:12,bsp_using_uart0:[13,33,57],bsp_using_uart1:13,bsp_using_usb:[14,17,39],bsp_using_xxx:2,bt:1,bt_addr_le_ani:1,bt_addr_le_t:1,bt_char_ble_tp_not_attr_val_index:29,bt_conn:[1,29],bt_conn_auth_cb:1,bt_conn_br:1,bt_conn_cb:[1,29],bt_conn_cb_regist:29,bt_conn_info:1,bt_conn_l:1,bt_conn_num_flag:1,bt_conn_rv:1,bt_conn_sco:1,bt_conn_state_t:1,bt_data:[1,29],bt_data_byt:1,bt_data_flag:[1,29],bt_data_gap_appear:29,bt_data_manufacturer_data:29,bt_data_name_complet:[1,29],bt_data_uuid16_al:29,bt_gap_adv_fast_int_max_3:29,bt_gap_adv_fast_int_min_3:29,bt_gap_init_conn_int_max:1,bt_gap_init_conn_int_min:1,bt_gap_scan_slow_interval_1:1,bt_gap_scan_slow_window_1:1,bt_gatt_attr:29,bt_gatt_notifi:29,bt_gatt_subscribe_param:29,bt_gatt_write_without_respons:29,bt_le_ad_gener:1,bt_le_ad_limit:29,bt_le_ad_no_bredr:[1,29],bt_le_adv_opt_connect:1,bt_le_adv_opt_dir_addr_rpa:1,bt_le_adv_opt_dir_mode_low_duti:1,bt_le_adv_opt_filter_conn:1,bt_le_adv_opt_filter_scan_req:1,bt_le_adv_opt_non:1,bt_le_adv_opt_one_tim:1,bt_le_adv_opt_use_ident:1,bt_le_adv_opt_use_nam:1,bt_le_adv_param:[1,29],bt_le_adv_start:29,bt_le_conn_param:1,bt_le_scan_cb_t:1,bt_le_scan_param:1,bt_le_scan_type_act:1,bt_le_scan_type_pass:1,bt_ready_cb_t:1,bt_security_t:1,bt_warn:29,buf:[8,29,47],buffer:[0,3,9,11,13,14],build:[18,20,22,23],bus:[11,14],but:1,bv1ef4y1t73d:17,by:[1,14],call:1,callback:[0,1,3,6,10,11,12,13,14,29],cam:39,can:1,cancel:20,canon:18,care:1,cb:1,cbfun:7,ccw:53,cd:[18,29,33,39,40,42,44,45,47,50,52,53,55,57],cdc:34,cdk:[26,29,32,33,39,40,42,44,45,47,50,52,53,55,57],cdk_flashload:22,cdkproj:[22,23,33,39,40,42,44,45,47,50,52,53,55,57],central:1,cfg:10,ch8:40,ch:[6,8,10,12,39,42,52,53],chan_map:1,channel:[1,9],channel_num:9,char_hdl:29,characterist:1,check:1,chip:21,circuit:8,ck:[19,26],ck_link:25,ck_link_debug:22,clean:[22,23],clear:0,click:21,client:1,clk:[11,55],clk_div:[3,12,40],clk_out:39,clk_phase:[11,55],clk_polara:[11,55],clock:[2,21,32],clock_config:[33,39,40,47,52,53,55,57],clock_period:32,clone:[18,19,20],close:0,cmake:28,cmake_current_source_dir:24,cmakelist:24,cmd:[0,3,6,9,10,11,12,13,14],cmd_echo:33,cnt_mode:12,code:[0,2],com:[17,18,19,20,21,25,26],command:[16,20],common:17,commun:17,complet:[1,14,29],compromis:1,comx:18,config:0,config_bt_bredr:1,config_bt_privaci:1,config_bt_remote_vers:1,config_bt_smp:1,config_bt_stack_pt:1,config_gpio0_func:39,config_gpio10_func:53,config_gpio11_func:[39,47,53],config_gpio12_func:[39,53],config_gpio14_func:[33,57],config_gpio15_func:[33,57],config_gpio16_func:[39,47],config_gpio18_func:40,config_gpio19_func:55,config_gpio1_func:39,config_gpio20_func:55,config_gpio21_func:55,config_gpio22_func:52,config_gpio29_func:39,config_gpio2_func:39,config_gpio30_func:39,config_gpio31_func:39,config_gpio3_func:[39,53],config_gpio4_func:39,config_gpio5_func:39,config_gpio6_func:39,config_gpio7_func:39,config_gpio8_func:39,config_gpio9_func:39,configur:[0,2,14],conn:[1,29],connect:[1,14,25,29],consol:20,continuous_conv_mod:[3,40],control:[0,1,14],conveni:1,convert:[3,26],core:28,count:10,cp:18,cpha:11,cpol:11,cpu:[22,23,32],creat:21,cs1:55,cs1_high:55,cs1_low:55,cs:11,csky:22,ctrl:0,cts:13,cube:[23,25,30],custom:17,cw:53,d0:44,d1:44,d2:44,d3:44,dac0:6,dac:[3,15],data:[1,9,13,14,16,29,33,55],data_appear:29,data_len:[1,14],data_manu:29,data_s:9,data_uuid:29,data_width:[3,40],databit:[13,33,57],datas:[11,55],datasheet:32,dc12v:53,dc5v:53,dc:55,dc_high:55,debug:[20,22,23],debug_log:[33,57],debugg:[18,19,21,22,26],default_config:55,defer:1,defin:[0,1,2,3,6,7,8,9,10,11,12,13,33,39,40,42,47,52,53,55,57],deivce_ctrl_pwm_dutycycle_config:[10,52,53],deivce_ctrl_pwm_frequence_config:10,deivce_ctrl_pwm_it_pulse_count_config:10,delai:1,demo:[2,20,29,33,39,40,42,44,45,47,50,52,53,55,57],desc:16,descript:[3,6,9,10,11,12,13,14],determin:1,dev:[0,1,3,6,8,9,10,11,12,13,14,18,20,23,25,26,30,33,42,57],devcub:30,devic:[0,1,3,6,8,9,10,11,12,13,14,21,26,28,33,39,40,42,47,52,57],device_class_adc:0,device_class_camera:0,device_class_dma:0,device_class_gpio:0,device_class_i2:0,device_class_i2c:0,device_class_non:0,device_class_pwm:0,device_class_sdio:0,device_class_sec_hash:0,device_class_spi:0,device_class_tim:0,device_class_typ:0,device_class_uart:0,device_class_usb:0,device_clos:55,device_contorl:[52,53],device_control:[33,40,42,52,53,57],device_ctrl_adc_channel_config:[3,40],device_ctrl_adc_channel_start:3,device_ctrl_adc_channel_stop:3,device_ctrl_adc_tsen_off:3,device_ctrl_adc_tsen_on:3,device_ctrl_adc_vbat_off:3,device_ctrl_adc_vbat_on:3,device_ctrl_attach_rx_dma:[0,3,11,13,14],device_ctrl_attach_tx_dma:[0,11,13,14],device_ctrl_clr_int:[0,3,6,10,11,12,13,33,57],device_ctrl_config:[0,3,10,13],device_ctrl_get_config:[0,9,12],device_ctrl_get_int:0,device_ctrl_get_match_statu:12,device_ctrl_i2s_attach_rx_dma:9,device_ctrl_i2s_attach_tx_dma:9,device_ctrl_resum:[0,10,11,12],device_ctrl_resvd1:0,device_ctrl_resvd2:0,device_ctrl_rx_dma_resum:[0,11,13],device_ctrl_rx_dma_suspend:[0,11,13],device_ctrl_set_int:[0,3,6,10,11,12,13,14,33,42,57],device_ctrl_spi_config_clock:11,device_ctrl_suspend:[0,10,11,12],device_ctrl_timer_ch_start:12,device_ctrl_timer_ch_stop:12,device_ctrl_tx_dma_resum:[0,11,13],device_ctrl_tx_dma_suspend:[0,11,13],device_ctrl_uart_get_rx_fifo:13,device_ctrl_uart_get_tx_fifo:13,device_ctrl_usb_dc_enum_off:14,device_ctrl_usb_dc_enum_on:14,device_ctrl_usb_dc_get_ep_rx_fifo_cnt:14,device_ctrl_usb_dc_get_ep_tx_fifo_cnt:14,device_ctrl_usb_dc_set_ack:14,device_ctrl_usb_dc_set_rx_dma:14,device_ctrl_usb_dc_set_tx_dma:14,device_find:[17,33,40,42,47,52,53,55,57],device_found:29,device_oflag_dma_rx:[0,3,6,8,9,10,11,13],device_oflag_dma_tx:[0,3,6,8,9,10,11,13],device_oflag_int:12,device_oflag_int_rx:[0,3,6,8,10,11,13,33,57],device_oflag_int_tx:[0,3,6,8,10,11,13],device_oflag_pol:12,device_oflag_rdonli:0,device_oflag_rdwr:[0,17,33,42,47,52,53,55,57],device_oflag_stream_rx:[0,3,6,8,9,10,11,13,40,55],device_oflag_stream_tx:[0,3,6,8,9,10,11,13,33,52,53,55,57],device_oflag_wronli:0,device_open:[2,17,33,39,40,42,47,52,53,55,57],device_read:40,device_set_callback:[17,33,42,57],device_status_typ:0,device_writ:57,differential_mod:[3,40],digit:3,dir:53,direct:[1,6,11,39,42,55],disabl:[3,10,40,53],disconnect:[1,29],displai:55,dl:[21,26],dlist_t:0,dma0_ch0_config:[6,42],dma0_ch0_index:[6,42],dma0_ch1_config:6,dma0_ch1_index:6,dma0_ch2_config:[6,39],dma0_ch2_index:6,dma0_ch3_config:6,dma0_ch3_index:6,dma0_ch4_config:6,dma0_ch4_index:6,dma0_ch5_config:6,dma0_ch5_index:6,dma0_ch6_config:6,dma0_ch6_index:6,dma0_ch7_config:6,dma0_ch7_index:6,dma:[0,3,8,9,10,11,13,14,15,28],dma_burst_16byt:6,dma_burst_1byt:6,dma_burst_4byt:6,dma_burst_8byt:6,dma_ch0:42,dma_ch0_index:6,dma_ch2:39,dma_channel_get_statu:6,dma_channel_start:42,dma_clos:6,dma_control:6,dma_dev:[6,39],dma_devic:6,dma_device_t:6,dma_dst_buff:42,dma_event_complet:6,dma_event_typ:6,dma_index_typ:6,dma_lli_ctrl_t:6,dma_lli_cycle_mod:6,dma_lli_once_mod:[6,39,42],dma_m2m:42,dma_max_index:6,dma_memory_to_memori:[6,42],dma_memory_to_periph:[6,39],dma_open:6,dma_periph_to_memori:6,dma_periph_to_periph:6,dma_regist:42,dma_reload:42,dma_request_adc0:6,dma_request_dac0:6,dma_request_i2c0_rx:6,dma_request_i2c0_tx:6,dma_request_i2s_rx:6,dma_request_i2s_tx:6,dma_request_non:[6,39,42],dma_request_spi0_rx:6,dma_request_spi0_tx:6,dma_request_uart0_rx:6,dma_request_uart0_tx:6,dma_request_uart1_rx:6,dma_request_uart1_tx:[6,39],dma_request_usb_ep0:6,dma_request_usb_ep1:[6,39],dma_request_usb_ep2:6,dma_request_usb_ep3:6,dma_request_usb_ep4:6,dma_request_usb_ep5:6,dma_request_usb_ep6:6,dma_request_usb_ep7:6,dma_src_buff:42,dma_transfer_dir_typ:6,dma_transfer_don:42,dma_transfer_width_16bit:6,dma_transfer_width_32bit:[6,42],dma_transfer_width_8bit:[6,39],dmax_devic:6,don:1,done:[14,42],download:[18,19,20,21,22,23],dparamet:33,driver:[21,26,55],dsp:9,dst_addr:6,dst_burst_siz:6,dst_req:[6,39,42],dst_width:[6,39,42],dtcm:32,duti:1,dutycycl:52,e24:28,echo:[18,33],eclips:26,edit:20,eeprom:47,effect:1,elf:[18,20,22],els:[40,53,55,57],enabl:[1,2,7,40,45,53],encrypt:1,end:2,endif:[1,3,6,8,9,10,11,12,13,33,39,40,42,47,52,53,55,57],enumer:14,ep0:[6,14],ep1:[6,14],ep2:6,ep3:6,ep4:6,ep5:6,ep6:6,ep7:[6,14],ep:14,ep_addr:14,ep_cfg:14,ep_ena:14,ep_mp:14,ep_typ:14,epf:20,equal:9,err:[1,29],error:[1,14,29,42],establish:14,even:[1,13],event:[0,3,6,10,11,12,13,14],exampl:[18,20,22,23,29,30,32,33,39,40,42,44,45,47,50,52,53,55,57],except:14,exe:[19,20,21,26],exist:20,fail:40,fatf:28,featur:1,field:1,fifo:[3,9,11,13,14,57],fifo_threshold:[3,9,11,13,33,40,55,57],file:[18,20,21],filter:1,filter_dup:1,find:[33,40,42,47,52,53,55,57],finish:[20,21],finsh:20,flag:[0,1,3,6,8,9,10,11,12,13,14,29,47],flash:[2,21,22,23,30],flashdownload:22,format_sel:39,frame:[9,14],frame_s:9,freerto:24,freerun:12,frequenc:[52,53],friend:1,ftdi:26,func1:25,func2:25,gain:[3,40],gap:1,gate:32,gatt:1,gc0308:39,gdb:20,gener:[1,7,20],generate_bin:24,get:0,get_adc_key_valu:40,get_attr:29,git:[18,19,20],gite:[18,19,20],github:26,gnd:[20,25],gpio0:39,gpio10:[53,55],gpio11:[39,45,47,53],gpio11_int_callback:45,gpio12:53,gpio14:[33,57],gpio15:[33,57],gpio16:[39,47],gpio18:40,gpio19:55,gpio1:39,gpio20:55,gpio21:55,gpio22:[44,52,55],gpio29:[39,44],gpio2:39,gpio30:[39,44],gpio31:[39,44],gpio3:[39,53],gpio4:39,gpio5:39,gpio6:39,gpio7:39,gpio8:39,gpio9:39,gpio:[2,15,20,28,32,33,39,40,47,52,53,55,57],gpio_af14_uart5:2,gpio_async_falling_triger_int_mod:7,gpio_async_high_level_int_mod:7,gpio_async_low_level_int_mod:7,gpio_async_rising_triger_int_mod:7,gpio_attach_irq:45,gpio_blink:[20,44],gpio_cas:24,gpio_fun_adc:40,gpio_fun_cam:39,gpio_fun_clk_out:39,gpio_fun_i2c:[39,47],gpio_fun_pwm:[52,53],gpio_fun_spi:55,gpio_fun_uart0_rx:[33,57],gpio_fun_uart0_tx:[33,57],gpio_fun_usb:39,gpio_initstruct:2,gpio_inittypedef:2,gpio_input_mod:7,gpio_input_pd_mod:7,gpio_input_pp_mod:7,gpio_int:45,gpio_irq_en:45,gpio_mode_af_pp:2,gpio_nopul:2,gpio_output_mod:[7,55],gpio_output_pd_mod:7,gpio_output_pp_mod:[7,44],gpio_pin_11:45,gpio_pin_12:2,gpio_pin_13:2,gpio_pin_22:44,gpio_pin_29:44,gpio_pin_30:44,gpio_pin_31:44,gpio_set_mod:[44,45,55],gpio_speed_freq_low:2,gpio_sync_falling_triger_int_mod:7,gpio_sync_high_level_int_mod:7,gpio_sync_low_level_int_mod:7,gpio_sync_rising_triger_int_mod:[7,45],gpio_test_cas:24,gpio_writ:[44,55],gpiob:2,guest:21,gz:18,hal:[0,2],hal_adc:3,hal_dma:6,hal_drv:55,hal_gpio_init:2,hal_i2c:8,hal_init:2,hal_nvic_enableirq:2,hal_nvic_setprior:2,hal_pwm:10,hal_spi:11,hal_tim:12,hal_uart:13,hal_uart_mspinit:2,handl:[0,1],happen:1,hardwar:[1,14],hbn:32,hci:1,hd12:25,hd1:25,hd3:25,hd8:25,header:39,hello:33,hellowd:[18,20,22,23,33],helloworld:[18,20,22,23],hellwd:33,help:[16,22,23],here:21,hid:34,high:1,host:[1,14],http:[17,18,19,20,21,26],huart:2,human:17,i2c0:[8,47],i2c0_config:[8,39,47],i2c0_index:[8,47],i2c1:8,i2c:[6,15,28],i2c_at24cxx:47,i2c_dev:8,i2c_devic:8,i2c_device_t:8,i2c_hw_mod:[8,39,47],i2c_index_typ:8,i2c_max_index:8,i2c_msg:8,i2c_msg_t:[8,47],i2c_open:8,i2c_rd:[8,47],i2c_regist:47,i2c_scl:[39,47],i2c_sda:[39,47],i2c_transf:47,i2c_wr:[8,47],i2cx_devic:8,i2s0:9,i2s0_config:9,i2s0_index:9,i2s:[6,15,25],i2s_channel_num_t:9,i2s_clos:9,i2s_control:9,i2s_data_len_16:9,i2s_data_len_24:9,i2s_data_len_32:9,i2s_data_len_8:9,i2s_data_size_t:9,i2s_dev:9,i2s_devic:9,i2s_device_t:9,i2s_frame_len_16:9,i2s_frame_len_24:9,i2s_frame_len_32:9,i2s_frame_len_8:9,i2s_frame_size_t:9,i2s_fs_channels_num_2:9,i2s_fs_channels_num_3:9,i2s_fs_channels_num_4:9,i2s_fs_channels_num_mono:9,i2s_get_rx_fifo_cmd:9,i2s_get_tx_fifo_cmd:9,i2s_index_typ:9,i2s_max_index:9,i2s_mode_dsp_a:9,i2s_mode_dsp_b:9,i2s_mode_left:9,i2s_mode_mast:9,i2s_mode_right:9,i2s_mode_slav:9,i2s_mode_std:9,i2s_mode_t:9,i2s_open:9,i2sx_devic:9,iap:28,ic:9,id:[1,6,8,9,11,12,13,14,33,39,42,47,55,57],ident:1,identifi:1,ifdef:[3,6,8,9,10,11,12,13],ifndef:[3,6,8,9,10,11,12,13,33,39,40,42,47,52,53,55,57],iis_mod:9,il9431:55,imag:21,in_ep:14,incom:1,index:[3,6,8,9,10,11,12,13,14,42],indic:1,info:1,init:2,initi:[2,14],input:7,instal:[18,26],instanc:2,int8_t:1,inter:[8,9],interfac:[2,11,17,18,21,26],interface_mod:9,interface_mode_t:9,intergr:8,interrupt:[0,2],interv:1,interval_max:[1,29],interval_min:[1,29],into:20,iot:[20,25,28],is:[1,9,13,14],is_stal:14,isoch:39,isregist:29,it:1,it_pulse_count:[10,52,53],itcm:32,its:1,jlink:[25,26],jlink_bl_mcu_sdk:20,jtag:[21,25,26,28],jtag_tck:25,jtag_tdi:25,jtag_tdo:25,jtag_tm:25,justifi:9,k_delayed_work:1,k_fifo:1,k_forev:29,k_sem_giv:29,k_sem_tak:29,k_work:1,keep:1,kei:[1,40],keil:[33,39,40,42,44,45,47,50,52,53,55,57],key_no_valu:40,keyvalu:40,l2cap:1,lab:[2,20,25,26,30,32],latenc:1,launch:20,lcd:54,lcd_c:55,lcd_cs_pin:55,lcd_dc:55,lcd_dc_pin:55,lcd_wr_byte:55,lcd_wr_halfword:55,lcd_wr_word:55,le:1,led:[26,52],led_breath:52,left:9,len:[8,29,47],length:[11,13,29],level:[1,32],libftdi:26,libhidapi:26,libusb:26,lin:13,link:[19,20,26],linker_script:24,linux:[27,32],list:[0,6,21,26],ll:1,lli:6,lli_cfg:6,load:[22,23],loader:22,local:1,log:20,lost:14,low:1,lowpow:28,lowpower_test:32,lpm:14,lpn:1,lsb:11,lsusb:26,lts:26,ma:32,main:[2,18,20,24],maix:[21,26],make:[20,24,29,32,33,39,40,42,44,45,47,50,52,53,55,57],manag:1,manufactur:1,map:1,mass:17,master:9,max_data_len:14,maximum:1,mcu:[0,2,3,6,7,8,9,10,11,12,13,14,17,18,19,20,21,25,26,32],mdk:[33,39,40,42,44,45,47,50,52,53,55,57],meaning:1,media:18,memori:[6,42],mesh:1,mini:[18,21,25],minimum:1,miso:55,mjpeg:39,mkdir:18,mobaxterm:33,mode:[1,2,7,8,9,11,39,47,55],modul:10,mosi:55,motor_ch0:53,motor_ch1:53,motor_ch2:53,motor_ch3:53,motor_dir_typ:53,motor_set_dir:53,ms:1,msb:11,msc:34,msg:[8,33,40,42,45,47,50,57],mtimer:28,must:[1,9,29],mv:40,mx_gpio_init:2,mx_quadspi_init:2,mx_usart1_uart_init:2,my_cas:24,name:[0,1,3,6,8,9,10,11,12,13,14,29],name_max:0,need:1,neg_channel:40,negchlist:40,net_buf:1,next:[1,20],no:[1,13],node:[0,1],none:6,not:1,notif:29,notifi:[1,29],notify_func:29,notify_poll_sem:29,num:8,observ:1,occ:19,occur:1,ocram:32,octam:32,odd:13,of:[0,1,2,14],ofast:24,offset:29,oflag:[0,3,6,8,9,10,11,12,13,14],ok:22,one:[13,29],onli:[1,9],oob:1,open:[0,40],openocd:[23,26],openocd_debug:[22,23],option:[1,21,26,29],or:[1,19,21,25,29,33],org:18,os:50,osr:3,ostrac:19,other:1,otherwis:1,out:[14,20],out_ep:14,outgo:1,output:7,over:20,own:1,own_address_typ:1,packet:[1,14],page:32,pair:1,pane:[22,23],param:[1,29],paramet:1,parent:[0,3,6,8,9,10,11,12,13,14],pariti:[13,33,57],passkei:1,path:18,pb12:2,pb13:2,pb:1,pc:[14,20,25,26,32,33],pcm:9,pdf:32,pds:32,peer:1,pending_no_cb:1,period:[10,52,53],peripher:[1,2,6,11,22,23,28],peripheral_clock_adc:4,peripheral_clock_dac:4,peripheral_clock_i2:4,peripheral_clock_i2c:4,peripheral_clock_spi:4,peripheral_clock_typ:4,peripheral_clock_uart:4,peripheral_config:[3,6,8,9,10,11,12,13,33,39,40,42,47,52,53,55,57],phase:[8,39,47],picocom:18,pin:[1,2,7,33,39,40,44,45,47,52,53,55,57],pinmux:7,pinmux_config:[7,33,39,40,52,53,55,57],pinmux_select:39,pinmux_uvc:39,pix:25,pl_trig_src:12,plu:[18,19,26],polarity_invert_mod:[10,53],port:[14,21,26],pos:[0,3,9,11,13,14],pos_channel:40,poschlist:40,power:[1,32],prefer:20,preload:12,press:40,privaci:1,privat:1,profil:[1,19],program:[21,30],project:[20,22,23],provid:1,provis:1,proxi:1,psram:21,pull:2,puls:10,purpos:7,pvparamet:29,pwm:[6,15,28],pwm_breath_l:52,pwm_cfg:[52,53],pwm_ch0:53,pwm_ch0_config:[10,53],pwm_ch0_index:[10,53],pwm_ch1:53,pwm_ch1_config:[10,53],pwm_ch1_index:[10,53],pwm_ch2:[52,53],pwm_ch2_config:[10,52,53],pwm_ch2_index:[10,52,53],pwm_ch3:53,pwm_ch3_config:[10,53],pwm_ch3_index:[10,53],pwm_ch4_config:10,pwm_ch4_index:10,pwm_channel_start:[52,53],pwm_close:10,pwm_config_t:10,pwm_control:10,pwm_dev:[10,52,53],pwm_devic:10,pwm_device_t:10,pwm_dutycycle_config_t:[10,53],pwm_event_complet:10,pwm_event_typ:10,pwm_index_typ:10,pwm_max_index:10,pwm_open:10,pwm_regist:[52,53],pwm_step_motor:53,pwmx_devic:10,queue:1,radio:1,ram:[32,43],random:1,rang:1,rb:14,read:[0,1,47],read_byt:14,reason:1,receiv:[13,14],recurs:[18,19,20],recv_buf:11,ref:1,refresh:[21,25],regist:[22,23],relai:1,remot:1,replac:[21,26],report:14,request:[1,6],requir:1,required_sec_level:1,reset:[2,14],resolut:1,resolv:1,resourc:1,respons:1,result_v:40,resum:[0,1,14],ret_byt:14,rf:18,rgb555:39,right:9,rinbuff:14,ring_buffer_typ:14,ringbuff:14,risc:[20,28,50],riscv64:18,rise:45,role:1,rom:[21,25],root_clock_source_bclk:[39,47,55],root_clock_source_pll_96m:[33,39,57],root_clock_source_rc_32k:53,root_clock_source_xclk:[40,52],rpa:1,rssi:1,rst:[18,20,21,22,23,25],rtc:32,rto:[13,57],rts:13,run:32,rv:[1,18,19,21,26],rx0:25,rx:[1,6,11,13,14,32],rx_dma:[9,11,13,14],rx_fifo:57,rx_len:1,rxd0:20,rxd:25,same:29,sampl_freq_hz:9,scan:1,scan_param:1,scan_rsp:1,sclk:11,sco:1,sd:1,sd_len:1,sdi:11,sdk:[2,7,18,19,20,22,23,24],sdk_path:[29,33,39,40,42,44,45,47,50,52,53,55,57],sdo:11,sec:1,sec_level:1,secur:1,segger:[20,21],select:21,send:29,send_buf:11,sent:1,serial:[11,14,22,23,26],server:1,servic:[1,29],set:[0,1,22,23,24],setup:[14,19],shell:28,shell_cmd_export:33,shell_cmd_export_alia:33,shell_handl:33,shell_init:33,shell_irq_callback:33,show:21,sifiv:28,simpl:1,sipe:[18,19,21,26],size:[0,3,6,9,10,11,12,13,14,33,42,57],size_t:1,sizeof:29,sky:22,slave:[1,9],slaveaddr:[8,47],sleeptim:32,smp:1,so:1,solicit:1,sound:9,sourc:18,spec:32,specif:1,specifi:1,speed:[2,21],spi0:[11,55],spi0_config:[11,55],spi0_index:[11,55],spi:[0,6,15,25,28],spi_clos:11,spi_config:55,spi_control:11,spi_datasize_16bit:11,spi_datasize_24bit:11,spi_datasize_32bit:11,spi_datasize_8bit:[11,55],spi_dev:11,spi_devic:11,spi_device_t:11,spi_event_rx_fifo:11,spi_event_tx_fifo:11,spi_event_typ:11,spi_event_unknown:11,spi_index_typ:11,spi_lcd:55,spi_lsb_byte0_direction_first:11,spi_lsb_byte3_direction_first:11,spi_master_mod:[11,55],spi_max_index:11,spi_miso:55,spi_mosi:55,spi_msb_byte0_direction_first:[11,55],spi_msb_byte3_direction_first:11,spi_open:11,spi_phase_1edg:[11,55],spi_phase_2edg:11,spi_polarity_high:11,spi_polarity_low:[11,55],spi_read:11,spi_regist:55,spi_sck:55,spi_slvae_mod:11,spi_swap_en:55,spi_transfer_tpye_24bit:11,spi_transfer_type_16bit:[11,55],spi_transfer_type_32bit:[11,55],spi_transfer_type_8bit:[11,55],spi_transmit:55,spi_writ:11,spix_devic:11,src_addr:6,src_burst_siz:6,src_req:[6,39,42],src_width:[6,39,42],stack:[1,28],stall:14,start:[14,22,23],state:[1,33,42,57],statu:[0,14],std:9,step:20,stm32:2,stm32xxx_hal_msp:2,stop:[1,13,22,23,53],stopbit:[13,33,57],storag:17,stream:39,strlen:29,struct:[0,1,3,6,8,9,10,11,12,13,14,17,29,33,42,47,52,57],structur:0,sub_addr_0byt:8,sub_addr_1byt:[8,47],sub_addr_2byt:8,subaddr:[8,47],subsystem:18,subvers:1,success:[21,22,42,47],sudo:18,support:1,support_bl:29,support_float:[29,40],support_freerto:[24,29],support_shel:[32,33],suspend:[0,14],sw1:[40,45],sw5:40,sync:13,sys_slist_t:1,sysinit:2,system:[2,22,23],system_clock_bclk:4,system_clock_fclk:4,system_clock_root_clock:4,system_clock_typ:4,system_clock_xclk:4,systemclock_config:2,systick:[2,50],systick_isr:50,tab:16,tabl:7,take:1,taken:1,tar:18,target:[1,20],target_required_lib:24,target_required_private_opt:24,target_required_src:24,task:[1,42],task_prior:1,tck:25,tdi:25,tdo:25,termin:26,test1:24,test2:24,test:33,tft:54,that:1,the:[1,2,14,29],thi:[1,29],threshold_high:[10,52,53],threshold_low:[10,52,53],tick:50,time:29,timeout:1,timer:15,timer_ch0_config:12,timer_ch0_index:12,timer_ch1_config:12,timer_ch1_index:12,timer_clos:12,timer_cnt_freerun:12,timer_cnt_mod:12,timer_cnt_preload:12,timer_control:12,timer_dev:12,timer_devic:12,timer_device_t:12,timer_event_comp0:12,timer_event_comp1:12,timer_event_comp2:12,timer_event_typ:12,timer_event_unknown:12,timer_index_typ:12,timer_max_index:12,timer_open:12,timer_pl_trig_comp0:12,timer_pl_trig_comp1:12,timer_pl_trig_comp2:12,timer_pl_trig_non:12,timer_pl_trig_t:12,timer_user_cfg_t:12,tk:1,tms:25,to:[1,3,6,21,29,42],togeth:1,tool:[21,22,26],tp:29,tp_wr_hdl:29,transceiv:14,transfer:[6,39,42],transfer_mod:[6,39,42],transfer_s:6,transmitt:13,trigger:45,ttl:[18,19,20,25,30,32],ttyusb1:18,two:13,tx0:25,tx:[1,6,11,13,14],tx_complet:1,tx_complete_work:1,tx_dma:[9,11,13,14],tx_mtu_siz:29,tx_pend:1,tx_queue:1,txd0:20,txd:25,txt:24,tyep:6,type:[0,1,4,11,19,20,21,25,26],typedef:[0,3,6,8,9,10,11,12,13,14],u16_t:[1,29],u32_t:1,u8_t:[1,29],ua:32,uac:17,uart0:[6,13,25,33,57],uart0_config:[13,33,57],uart0_index:13,uart0_rx:[33,57],uart0_tx:[33,57],uart1:6,uart1_config:13,uart1_index:13,uart5:2,uart5_irqn:2,uart5_mspinit:2,uart5_rx:2,uart5_tx:2,uart:[15,18,28,32,33],uart_clos:13,uart_control:13,uart_data_len_5:13,uart_data_len_6:13,uart_data_len_7:13,uart_data_len_8:[13,33,57],uart_databits_t:13,uart_dev:13,uart_devic:13,uart_device_t:13,uart_echo:57,uart_event_rto:[13,57],uart_event_rx_end:13,uart_event_rx_fifo:[13,33,57],uart_event_tx_end:13,uart_event_tx_fifo:13,uart_event_typ:13,uart_event_unknown:13,uart_handletypedef:2,uart_index_typ:13,uart_irq_callback:57,uart_it_typ:13,uart_max_index:13,uart_open:13,uart_par_even:13,uart_par_non:[13,33,57],uart_par_odd:13,uart_param_cfg_t:13,uart_parity_t:13,uart_read:13,uart_regist:[33,57],uart_rto_it:57,uart_rx_fifo_it:[33,57],uart_stop_on:[13,33,57],uart_stop_one_d_f:13,uart_stop_two:13,uart_stopbits_t:13,uart_writ:13,uartx_devic:13,ubuntu:[18,26],uint16_t:[0,3,6,8,9,10,11,12,13,14,55],uint32_t:[0,3,4,6,7,8,9,10,11,12,13,14,33,42,45,50,55,57],uint8_t:[1,3,6,7,8,9,10,11,12,13,14,16,29,33,47,55,57],uln2003:53,undirect:29,uniform:1,union:1,univers:[13,14],unknow:29,unsign:1,updat:[1,18],update_work:1,upload:18,usart:30,usb0:14,usb1:14,usb2:17,usb:[6,15,18,19,20,21,25,26,28,30,32,33,39],usb_clos:14,usb_control:14,usb_dc:17,usb_dc_devic:14,usb_dc_device_t:14,usb_dc_ep_cfg:14,usb_dc_ep_clear_stal:17,usb_dc_ep_clos:17,usb_dc_ep_is_stal:17,usb_dc_ep_open:17,usb_dc_ep_read:17,usb_dc_ep_set_stal:17,usb_dc_ep_state_t:14,usb_dc_ep_writ:17,usb_dc_event_callback:17,usb_dc_event_configur:14,usb_dc_event_connect:14,usb_dc_event_disconnect:14,usb_dc_event_ep0_in_notifi:14,usb_dc_event_ep0_out_notifi:14,usb_dc_event_ep_in_notifi:14,usb_dc_event_ep_out_notifi:14,usb_dc_event_error:14,usb_dc_event_reset:14,usb_dc_event_resum:14,usb_dc_event_setup_notifi:14,usb_dc_event_sof:14,usb_dc_event_suspend:14,usb_dc_event_typ:14,usb_dc_event_unknown:14,usb_dc_regist:17,usb_dc_set_address:17,usb_dm:39,usb_dp:39,usb_index:17,usb_index_typ:14,usb_open:14,usb_read:14,usb_stack:17,usb_video:39,usb_writ:14,usbd_endpoint_cfg:14,usbd_ep_clear_stal:17,usbd_ep_clos:17,usbd_ep_is_stal:17,usbd_ep_open:17,usbd_ep_read:17,usbd_ep_set_stal:17,usbd_ep_writ:17,usbd_set_address:17,use:1,used:1,user:[2,30],uses:1,using:1,usr:18,uuid:1,uvc:[17,39],uyvi:39,v10:21,v11:[21,26],v3:18,valu:[1,7],vbat:3,vdd33:25,vdd:[3,25],vendor:17,version:1,vi:18,video:34,view:[21,22,23],viewer:[22,23],volt:40,vref:[3,25,40],vtref:25,warn:1,we:1,welcom:20,wfi:32,wget:18,when:1,which:1,whitelist:1,whitout:[22,23],width:10,will:1,win:26,window:[1,18,32,33,39,40,42,44,45,47,50,52,53,55,57],windows_cdk:27,windows_eclips:27,winusb1:17,winusb2:17,winusb:[21,26],wireless:0,without:1,work:1,workspac:20,world:33,write:[0,29],write_data_poll_sem:29,www:17,x86_64:18,xshell:33,xtal_ck:10,xxx:[3,6,8,9,10,11,12,13,20],xxx_board:[4,7],xxx_clock_config:4,xxx_close:0,xxx_config:2,xxx_control:0,xxx_devic:0,xxx_device_t:0,xxx_open:0,xxx_read:0,xxx_regist:0,xxx_write:0,xxxx_case:24,yuv:39,zadig:[21,26],zigbe:28,zxvf:18},titles:["1. API \u6982\u8ff0","6. BLE","2. Board \u914d\u7f6e\u7cfb\u7edf","3.9. ADC \u8bbe\u5907","3.1. \u65f6\u949f\u6811","3.10. DAC \u8bbe\u5907","3.5. DMA \u8bbe\u5907","3.2. GPIO \u8bbe\u5907","3.6. I2C \u8bbe\u5907","3.7. I2S \u8bbe\u5907","3.4. PWM \u8bbe\u5907","3.8. SPI \u8bbe\u5907","3.11. TIMER \u8bbe\u5907","3.3. UART \u8bbe\u5907","3.12. USB \u8bbe\u5907","3. Peripheral","4. Shell","5. USB Stack","2.3. Linux OR WSL \u73af\u5883\u5f00\u53d1\u6307\u5357","2.1. Windows \u4e0b\u4f7f\u7528 CDK (\u7c7b MDK Keil)\u5f00\u53d1\u6307\u5357","2.2. Windows \u4e0b\u4f7f\u7528 Eclipse \u5f00\u53d1\u6307\u5357","3. BLDevCube \u70e7\u5f55\u5de5\u5177\u6307\u5357","\u4f7f\u7528 CDK + CK-Link \u7f16\u8bd1\u8c03\u8bd5","\u4f7f\u7528 CDK + Sipeed RV-Debugger Plus \u7f16\u8bd1\u8c03\u8bd5","4. \u57fa\u4e8e cmake \u6846\u67b6\u65b0\u5efa\u5de5\u7a0b\u6307\u5357","5. \u786c\u4ef6\u8fde\u63a5\u6307\u5357","1. \u5f00\u53d1\u524d\u7684\u51c6\u5907","2. \u5f00\u53d1\u73af\u5883\u642d\u5efa\u6307\u5357","BL MCU SDK \u5f00\u53d1\u6307\u5357","6. BLE \u5ba2\u6237\u7aef\u548c\u670d\u52a1\u7aef\u4e92\u8fde","5. BOOT2 IAP","2. Fatfs \u6587\u4ef6\u7cfb\u7edf\u8bfb\u5199","4. LowPower \u4f4e\u529f\u8017\u8bc4\u4f30","1. Shell \u547d\u4ee4\u884c\u8c03\u8bd5","3. USB Device \u5e94\u7528","3.5. AUDIO - \u9ea6\u514b\u98ce","3.1. CDC - \u865a\u62df\u4e32\u53e3","3.3. HID - \u952e\u76d8&\u9f20\u6807","3.2. MSC - U \u76d8","3.4. VIDEO - \u6444\u50cf\u5934","8.1. ADC - \u6309\u952e\u68c0\u6d4b\u7535\u538b","8. ADC \u793a\u4f8b","5.1. DMA - RAM\u95f4\u6570\u636e\u642c\u8fd0","5. DMA \u793a\u4f8b","1.1. GPIO \u8f93\u51fa - \u6d41\u6c34\u706f","1.2. GPIO \u4e2d\u65ad - \u6309\u952e\u68c0\u6d4b","1. GPIO \u793a\u4f8b","7.1. I2C - AT24CXX \u8bfb\u5199","7. I2C \u793a\u4f8b","4. MTIMER \u793a\u4f8b","4.1. MTIMER - \u7cfb\u7edf\u5b9a\u65f6\u5668","3. PWM \u793a\u4f8b","3.1. PWM - \u547c\u5438\u706f","3.2. PWM - \u9a71\u52a8\u6b65\u8fdb\u7535\u673a","6. SPI \u793a\u4f8b","6.1. SPI - TFT LCD \u663e\u793a","2. UART \u793a\u4f8b","2.1. UART - \u6570\u636e\u81ea\u6536\u53d1"],titleterms:{adc:[3,40,41],adc_regist:3,api:0,at24cxx:47,audio:[17,35],bl706_avb:25,bl706_iot:25,bl:28,bl_mcu_sdk:[18,19,20],bldevcub:21,ble:[1,29],ble_controller_init:1,blxxx_config:2,board:2,boot2:30,bouffalo:21,bt_conn_auth_cancel:1,bt_conn_auth_cb_regist:1,bt_conn_auth_passkey_confirm:1,bt_conn_auth_passkey_entri:1,bt_conn_auth_pincode_entri:1,bt_conn_cb_regist:1,bt_conn_create_auto_l:1,bt_conn_create_auto_stop:1,bt_conn_create_l:1,bt_conn_create_slave_l:1,bt_conn_disconnect:1,bt_conn_enc_key_s:1,bt_conn_get_info:1,bt_conn_get_remote_dev_info:1,bt_conn_get_secur:1,bt_conn_le_param_upd:1,bt_conn_set_secur:1,bt_enabl:1,bt_get_local_address:1,bt_le_adv_start:1,bt_le_adv_stop:1,bt_le_adv_update_data:1,bt_le_read_rssi:1,bt_le_scan_start:1,bt_le_scan_stop:1,bt_le_set_auto_conn:1,bt_le_set_chan_map:1,bt_le_whitelist_add:1,bt_le_whitelist_clear:1,bt_le_whitelist_rem:1,bt_set_bond:1,bt_set_tx_pwr:1,bt_unpair:1,cdc:[17,36],cdk:[19,22,23],ck:[22,25],client:29,clock_config:2,cmake:[18,24],cube:21,dac:5,debugg:[23,25],dev:21,devic:[17,34],device_clos:[0,3,6,9,10,11,12,13,14],device_control:[0,3,6,9,10,11,12,13,14],device_find:0,device_open:[0,3,6,8,9,10,11,12,13,14],device_read:[0,3,9,11,13,14],device_regist:0,device_set_callback:[0,3,6,10,11,12,13,14],device_unregist:0,device_writ:[0,9,11,13,14],dma:[6,42,43],dma_channel_check_busi:6,dma_channel_start:6,dma_channel_stop:6,dma_channel_upd:6,dma_regist:6,dma_reload:6,eclips:20,exampl:24,fatf:31,gcc:24,gpio:[7,44,45,46],gpio_attach_irq:7,gpio_irq_en:7,gpio_read:7,gpio_set_mod:7,gpio_toggl:7,gpio_writ:7,hci_driver_init:1,hello:[18,19,20,22,23],hid:[17,37],i2c:[8,47,48],i2c_regist:8,i2c_transf:8,i2s:9,i2s_regist:9,iap:30,jlink:21,keil:19,lab:21,lcd:55,ld:24,link:[22,25],linux:[18,26],lowpow:32,make:18,mcu:28,mdk:19,msc:[17,38],mtimer:[49,50],openocd:21,option:24,or:18,peripher:15,peripheral_clock_get:4,peripheral_config:2,pinmux_config:2,plu:[23,25],port:17,pwm:[10,51,52,53],pwm_channel_start:10,pwm_channel_stop:10,pwm_channel_upd:10,pwm_it_pulse_count_upd:10,pwm_regist:10,ram:42,risc:18,rv:[23,25],sdk:28,server:29,shell:[16,33],shell_cmd_export:16,shell_cmd_export_alia:16,shell_handl:16,shell_init:16,sipe:[23,25],spi:[11,54,55],spi_rec:11,spi_regist:11,spi_transmit:11,spi_transmit_rec:11,stack:17,stm32cubemx:2,system_clock_get:4,table1:[9,12,14],table2:14,tft:55,timer:12,timer_regist:12,uart:[13,21,56,57],uart_regist:13,usb:[14,17,34],usb_dc_ep_clear_stal:14,usb_dc_ep_clos:14,usb_dc_ep_is_stal:14,usb_dc_ep_open:14,usb_dc_ep_read:14,usb_dc_ep_set_stal:14,usb_dc_ep_writ:14,usb_dc_init:17,usb_dc_receive_to_ringbuff:14,usb_dc_regist:14,usb_dc_send_from_ringbuff:14,usb_dc_set_address:14,usb_device_is_configur:17,usbd_class_add_interfac:17,usbd_desc_regist:17,usbd_interface_add_endpoint:17,usbd_msosv1_desc_regist:17,video:[17,39],window:[19,20,26],world:[18,19,20,22,23],wsl:18,xshell:32}}) \ No newline at end of file +Search.setIndex({docnames:["api_reference/api_overview","api_reference/bluetooth/api_ble","api_reference/board","api_reference/peripheral/api_adc","api_reference/peripheral/api_clock","api_reference/peripheral/api_dac","api_reference/peripheral/api_dma","api_reference/peripheral/api_gpio","api_reference/peripheral/api_i2c","api_reference/peripheral/api_i2s","api_reference/peripheral/api_pwm","api_reference/peripheral/api_spi","api_reference/peripheral/api_timer","api_reference/peripheral/api_uart","api_reference/peripheral/api_usb","api_reference/peripheral/index","api_reference/shell/api_shell","api_reference/usb stack/api_usb_stack","get_started/Linux_quick_start_ubuntu","get_started/Windows_quick_start_cdk","get_started/Windows_quick_start_eclipse","get_started/bl_dev_cube","get_started/cdk_ck_link","get_started/cdk_rv_debugger_plus","get_started/cmake_quick_start","get_started/connecting_hardware","get_started/get_started","get_started/index","index","samples/advance samples/ble_scan_demo","samples/advance samples/boot2_iap_info","samples/advance samples/fatfs_demo","samples/advance samples/lowpower_demo","samples/advance samples/shell_demo","samples/advance samples/usb/index","samples/advance samples/usb/usbd_audio","samples/advance samples/usb/usbd_cdc","samples/advance samples/usb/usbd_hid","samples/advance samples/usb/usbd_msc","samples/advance samples/usb/usbd_video","samples/basic samples/adc/adc_key_demo","samples/basic samples/adc/index","samples/basic samples/dma/dma_m2m_demo","samples/basic samples/dma/index","samples/basic samples/gpio/blink_demo","samples/basic samples/gpio/button_demo","samples/basic samples/gpio/index","samples/basic samples/i2c/i2c_eeprom_demo","samples/basic samples/i2c/index","samples/basic samples/mtimer/index","samples/basic samples/mtimer/mtimer_demo","samples/basic samples/pwm/index","samples/basic samples/pwm/pwm_breath_demo","samples/basic samples/pwm/pwm_step_motor","samples/basic samples/spi/index","samples/basic samples/spi/spi_lcd_demo","samples/basic samples/uart/index","samples/basic samples/uart/uart_loopback_demo"],envversion:{"sphinx.domains.c":2,"sphinx.domains.changeset":1,"sphinx.domains.citation":1,"sphinx.domains.cpp":3,"sphinx.domains.index":1,"sphinx.domains.javascript":2,"sphinx.domains.math":2,"sphinx.domains.python":2,"sphinx.domains.rst":2,"sphinx.domains.std":2,sphinx:56},filenames:["api_reference\\api_overview.rst","api_reference\\bluetooth\\api_ble.rst","api_reference\\board.rst","api_reference\\peripheral\\api_adc.rst","api_reference\\peripheral\\api_clock.rst","api_reference\\peripheral\\api_dac.rst","api_reference\\peripheral\\api_dma.rst","api_reference\\peripheral\\api_gpio.rst","api_reference\\peripheral\\api_i2c.rst","api_reference\\peripheral\\api_i2s.rst","api_reference\\peripheral\\api_pwm.rst","api_reference\\peripheral\\api_spi.rst","api_reference\\peripheral\\api_timer.rst","api_reference\\peripheral\\api_uart.rst","api_reference\\peripheral\\api_usb.rst","api_reference\\peripheral\\index.rst","api_reference\\shell\\api_shell.rst","api_reference\\usb stack\\api_usb_stack.rst","get_started\\Linux_quick_start_ubuntu.rst","get_started\\Windows_quick_start_cdk.rst","get_started\\Windows_quick_start_eclipse.rst","get_started\\bl_dev_cube.rst","get_started\\cdk_ck_link.rst","get_started\\cdk_rv_debugger_plus.rst","get_started\\cmake_quick_start.rst","get_started\\connecting_hardware.rst","get_started\\get_started.rst","get_started\\index.rst","index.rst","samples\\advance samples\\ble_scan_demo.rst","samples\\advance samples\\boot2_iap_info.rst","samples\\advance samples\\fatfs_demo.rst","samples\\advance samples\\lowpower_demo.rst","samples\\advance samples\\shell_demo.rst","samples\\advance samples\\usb\\index.rst","samples\\advance samples\\usb\\usbd_audio.rst","samples\\advance samples\\usb\\usbd_cdc.rst","samples\\advance samples\\usb\\usbd_hid.rst","samples\\advance samples\\usb\\usbd_msc.rst","samples\\advance samples\\usb\\usbd_video.rst","samples\\basic samples\\adc\\adc_key_demo.rst","samples\\basic samples\\adc\\index.rst","samples\\basic samples\\dma\\dma_m2m_demo.rst","samples\\basic samples\\dma\\index.rst","samples\\basic samples\\gpio\\blink_demo.rst","samples\\basic samples\\gpio\\button_demo.rst","samples\\basic samples\\gpio\\index.rst","samples\\basic samples\\i2c\\i2c_eeprom_demo.rst","samples\\basic samples\\i2c\\index.rst","samples\\basic samples\\mtimer\\index.rst","samples\\basic samples\\mtimer\\mtimer_demo.rst","samples\\basic samples\\pwm\\index.rst","samples\\basic samples\\pwm\\pwm_breath_demo.rst","samples\\basic samples\\pwm\\pwm_step_motor.rst","samples\\basic samples\\spi\\index.rst","samples\\basic samples\\spi\\spi_lcd_demo.rst","samples\\basic samples\\uart\\index.rst","samples\\basic samples\\uart\\uart_loopback_demo.rst"],objects:{"":{SHELL_CMD_EXPORT:[16,0,1,"c.SHELL_CMD_EXPORT"],SHELL_CMD_EXPORT_ALIAS:[16,0,1,"c.SHELL_CMD_EXPORT_ALIAS"]}},objnames:{"0":["c","macro","C \u5b8f"]},objtypes:{"0":"c:macro"},terms:{"0v":[3,40],"0x":47,"0x00":[1,47],"0x0000":8,"0x00000000":6,"0x00000001":6,"0x00000002":6,"0x00000003":6,"0x00000006":6,"0x00000007":6,"0x0000000a":6,"0x0000000b":6,"0x00000014":6,"0x00000015":6,"0x00000016":6,"0x00000017":6,"0x00000018":6,"0x00000019":6,"0x0000001a":6,"0x0000001b":6,"0x0000001c":6,"0x0000001d":6,"0x0000001e":6,"0x0000001f":6,"0x0001":8,"0x001":[0,3,6,8,9,10,11,13],"0x0010":8,"0x0018":1,"0x002":[0,3,6,8,9,10,11,13],"0x0020":8,"0x0028":1,"0x004":[0,3,6,8,10,11,13],"0x0040":8,"0x008":[0,3,6,8,10,11,13],"0x00a0":1,"0x00f0":1,"0x01":[0,1,12,29],"0x010":[0,3,6,8,9,10,11,13],"0x0180":29,"0x02":[0,12,29],"0x020":[0,3,6,8,9,10,11,13],"0x03":[0,29],"0x04":[0,29],"0x05":[0,29],"0x06":[0,29],"0x07":[0,29],"0x08":[0,29],"0x09":[0,29],"0x0a":0,"0x0b":0,"0x0c":0,"0x0d":0,"0x0e":0,"0x0f":0,"0x10":[3,6,9,10,11,13],"0x1000":0,"0x11":[3,6,9,13],"0x12":[3,6,29],"0x13":[3,6,29],"0x14":3,"0x15":3,"0x16":3,"0x18":29,"0x1812":29,"0x2000":0,"0x3000":0,"0x50":47,"0x71":29,"0x80":[12,29],"0x81":12,"0x82":12,"0xff":42,"10":[7,18,29,32],"100":10,"1000":[9,40],"1000000":[50,52],"11":[7,26,29],"12":[3,7,29],"125hz":53,"13":[1,7,29],"14":[3,29,32],"14bit":3,"15":[8,29,39,47],"16":[3,6,9,10,12,29,52],"160":53,"16bit":3,"17":29,"18":[26,29],"18000000":11,"19":[18,29],"1m":50,"1mhz":52,"1s":[32,50],"1v":40,"20":29,"2000000":[13,18,33,57],"20210120":18,"24":9,"244":29,"256":12,"25hz":53,"28":32,"28byj48":53,"2f":40,"2m":21,"2mhz":3,"2v":[3,40],"31":32,"32":[6,9,12,42,52,53],"32768":32,"32k":[10,32,53],"32m":[32,52],"360":53,"36000000":55,"37":53,"3v":40,"3v3":25,"400":1,"4096":53,"40m":11,"43v":40,"4ghz":1,"4k":32,"50":[10,52],"625":[1,53],"64":[14,50,53],"64k":32,"68":32,"8000":42,"break":13,"case":[20,24],"char":[0,1,3,6,8,9,10,11,12,13,14,29,33],"class":17,"const":[0,1,3,6,8,9,10,11,12,13,14,29],"default":25,"enum":[0,1,3,4,6,8,9,10,11,12,13,14,53],"export":18,"for":[1,9,18,33,39,42,52],"function":[33,39,40,44,45,47,52,53,55,57],"if":[1,2,3,6,8,9,10,11,12,13,29,33,39,40,42,47,52,53,55,57],"import":20,"in":[1,14,29,30,39],"int":[0,1,3,6,7,8,9,10,11,12,13,14,29,33],"null":[0,1,3,6,10,11,12,13,14,29,33,42,57],"public":1,"return":[0,1,3,6,7,8,9,10,11,12,13,14,17,29,33],"static":[3,6,8,9,10,11,13,29,45,50],"try":1,"void":[0,1,2,3,6,7,9,10,11,12,13,14,16,17,29,33,40,42,45,50,53,55,57],"while":[29,32],"with":1,__hal_rcc_gpiob_clk_en:2,__hal_rcc_uart5_clk_en:2,access:[1,6],ack:14,acknowledg:1,acl:1,activ:1,ad:1,ad_discov:1,ad_len:1,adc0:[3,6,40],adc0_config:[3,40],adc0_index:[3,40],adc:[0,15,28],adc_channel_cfg:40,adc_channel_cfg_t:[3,40],adc_channel_start:40,adc_clk_div_t:3,adc_clock_div_32:[3,40],adc_clos:3,adc_control:3,adc_data_width_16b_with_256_averag:[3,40],adc_data_width_t:3,adc_dev:[3,40],adc_devic:3,adc_device_t:3,adc_event_fifo_readi:3,adc_event_overrun:3,adc_event_typ:3,adc_event_underrun:3,adc_fifo_threshold_1byt:[3,40],adc_fifo_threshold_t:3,adc_gain_1:[3,40],adc_index_typ:3,adc_it_typ:3,adc_kei:40,adc_max_index:3,adc_open:3,adc_param_cfg_t:3,adc_pga_gain_t:3,adc_read:3,adc_regist:40,adc_start:3,adc_stop:3,adc_vref_3p2v:[3,40],adc_vref_t:3,adcx_devic:3,add:22,addr:[1,14],addr_typ:1,address:1,adv:[1,29],adv_addr:1,adv_data:29,adv_nam:29,adv_param:29,advanc:21,advertis:1,after:1,alia:16,all:[2,21,26],altern:2,an:1,analog:3,and:[1,2],ani:1,aon:32,api:[1,28],app:[18,20,24,29,32,33,39,40,42,44,45,47,50,52,53,55,57],appear:1,appli:20,applic:[1,20,30],apt:[18,26],are:1,arg:[0,3,6,9,10,11,12,13,14,33,42,57],argc:33,argv:33,array_s:29,as:[1,9,29],asynchron:13,at24cxx:48,atomic_defin:1,atomic_t:1,attr:29,attribut:1,audio:34,avb:[25,39],bashrc:18,baudrat:[13,33,57],bclk:[9,10],be:[1,29],bearer:1,befor:1,begin:2,bflb_mcu_prefer:20,bflb_platform_delay_m:52,bflb_platform_init:[33,57],bflb_platform_set_alarm_tim:50,bilibili:17,bin:[18,20,21,22,23,30],bit:[1,3,9,10,12,13],bl602:1,bl702:[18,19,20,26,28,29],bl702_avb:55,bl702_bl704_bl706_ds_en_combo_1:32,bl702_driver:55,bl702_iot:25,bl706:[20,25,30,32,39],bl706_avb:[26,39,40,55],bl706_iot:[18,20,24,26,29,33,42,44,45,47,50,52,53,57],bl706_lp:32,bl70x:[14,25,28,32],bl70x_flash:22,bl70x_flasher:22,bl:[7,23,32],bl_clean:20,bl_config_wizard:2,bl_make:20,bl_mcu_sdk:[0,24,29,30,32,33,39,40,42,44,45,47,50,52,53,55,57],bl_test_01:29,bldevcub:[23,28,29,33,39,40,42,44,45,47,50,52,53,55,57],ble:28,ble_centr:29,ble_peripher:29,ble_start_adv:29,ble_start_scan:29,ble_tp_conn:29,ble_tp_conn_callback:29,ble_tp_connect:29,ble_tp_disconnect:29,ble_tp_init:29,ble_tp_notify_task:29,ble_tp_recv_wr:29,ble_write_data:29,ble_write_data_task:29,bluetooth:1,board:[3,4,6,7,8,9,10,11,12,13,18,20,24,25,28,29,32,33,39,40,42,44,45,47,50,52,53,55,57],board_get_debug_uart_index:[33,57],bool:[1,3],boot2:28,boot2_iap:30,boot:[18,21,25,26],boot_rom:18,borad:20,bouffalo:[20,25,26,30],bouffalolab:[18,19,20,21],boufflao:[2,32],br:1,breath:52,broadcast:1,brows:21,bsp:[2,3,4,6,7,8,9,10,11,12,13,33,39,40,42,47,52,53,55,57],bsp_adc_clock_div:40,bsp_adc_clock_sourc:40,bsp_cam_clock_div:39,bsp_cam_clock_sourc:39,bsp_common:39,bsp_i2c_clock_div:[39,47],bsp_i2c_clock_sourc:[39,47],bsp_image_sensor:39,bsp_pwm_clock_div:[52,53],bsp_pwm_clock_sourc:[52,53],bsp_spi_clock_div:55,bsp_spi_clock_sourc:55,bsp_uart_clock_div:[33,57],bsp_uart_clock_sourc:[33,57],bsp_using_adc0:[3,40],bsp_using_cam:39,bsp_using_dma0_ch0:[6,42],bsp_using_dma0_ch1:6,bsp_using_dma0_ch2:[6,39],bsp_using_dma0_ch3:6,bsp_using_dma0_ch4:6,bsp_using_dma0_ch5:6,bsp_using_dma0_ch6:6,bsp_using_dma0_ch7:6,bsp_using_dma_ch0:6,bsp_using_i2c0:[8,39,47],bsp_using_i2s0:9,bsp_using_pwm_ch0:[10,53],bsp_using_pwm_ch1:[10,53],bsp_using_pwm_ch2:[10,52,53],bsp_using_pwm_ch3:[10,53],bsp_using_pwm_ch4:10,bsp_using_spi0:[11,55],bsp_using_timer_ch0:12,bsp_using_timer_ch1:12,bsp_using_uart0:[13,33,57],bsp_using_uart1:13,bsp_using_usb:[14,17,39],bsp_using_xxx:2,bt:1,bt_addr_le_ani:1,bt_addr_le_t:1,bt_char_ble_tp_not_attr_val_index:29,bt_conn:[1,29],bt_conn_auth_cb:1,bt_conn_br:1,bt_conn_cb:[1,29],bt_conn_cb_regist:29,bt_conn_info:1,bt_conn_l:1,bt_conn_num_flag:1,bt_conn_rv:1,bt_conn_sco:1,bt_conn_state_t:1,bt_data:[1,29],bt_data_byt:1,bt_data_flag:[1,29],bt_data_gap_appear:29,bt_data_manufacturer_data:29,bt_data_name_complet:[1,29],bt_data_uuid16_al:29,bt_gap_adv_fast_int_max_3:29,bt_gap_adv_fast_int_min_3:29,bt_gap_init_conn_int_max:1,bt_gap_init_conn_int_min:1,bt_gap_scan_slow_interval_1:1,bt_gap_scan_slow_window_1:1,bt_gatt_attr:29,bt_gatt_notifi:29,bt_gatt_subscribe_param:29,bt_gatt_write_without_respons:29,bt_le_ad_gener:1,bt_le_ad_limit:29,bt_le_ad_no_bredr:[1,29],bt_le_adv_opt_connect:1,bt_le_adv_opt_dir_addr_rpa:1,bt_le_adv_opt_dir_mode_low_duti:1,bt_le_adv_opt_filter_conn:1,bt_le_adv_opt_filter_scan_req:1,bt_le_adv_opt_non:1,bt_le_adv_opt_one_tim:1,bt_le_adv_opt_use_ident:1,bt_le_adv_opt_use_nam:1,bt_le_adv_param:[1,29],bt_le_adv_start:29,bt_le_conn_param:1,bt_le_scan_cb_t:1,bt_le_scan_param:1,bt_le_scan_type_act:1,bt_le_scan_type_pass:1,bt_ready_cb_t:1,bt_security_t:1,bt_warn:29,buf:[8,29,47],buffer:[0,3,9,11,13,14],build:[18,20,22,23],bus:[11,14],but:1,bv1ef4y1t73d:17,by:[1,14],call:1,callback:[0,1,3,6,10,11,12,13,14,29],cam:39,can:1,cancel:20,canon:18,care:1,cb:1,cbfun:7,ccw:53,cd:[18,29,33,39,40,42,44,45,47,50,52,53,55,57],cdc:34,cdk:[26,29,32,33,39,40,42,44,45,47,50,52,53,55,57],cdk_flashload:22,cdkproj:[22,23,33,39,40,42,44,45,47,50,52,53,55,57],central:1,cfg:10,ch8:40,ch:[6,8,10,12,39,42,52,53],chan_map:1,channel:[1,9],channel_num:9,char_hdl:29,characterist:1,check:1,chip:21,circuit:8,ck:[19,26],ck_link:25,ck_link_debug:22,clean:[22,23],clear:0,click:21,client:1,clk:[11,55],clk_div:[3,12,40],clk_out:39,clk_phase:[11,55],clk_polara:[11,55],clock:[2,21,32],clock_config:[33,39,40,47,52,53,55,57],clock_period:32,clone:[18,19,20],close:0,cmake:28,cmake_current_source_dir:24,cmakelist:24,cmd:[0,3,6,9,10,11,12,13,14],cmd_echo:33,cnt_mode:12,code:[0,2],com:[17,18,19,20,21,25,26],command:[16,20],common:17,commun:17,complet:[1,14,29],compromis:1,comx:18,config:0,config_bt_bredr:1,config_bt_privaci:1,config_bt_remote_vers:1,config_bt_smp:1,config_bt_stack_pt:1,config_gpio0_func:39,config_gpio10_func:53,config_gpio11_func:[39,47,53],config_gpio12_func:[39,53],config_gpio14_func:[33,57],config_gpio15_func:[33,57],config_gpio16_func:[39,47],config_gpio18_func:40,config_gpio19_func:55,config_gpio1_func:39,config_gpio20_func:55,config_gpio21_func:55,config_gpio22_func:52,config_gpio29_func:39,config_gpio2_func:39,config_gpio30_func:39,config_gpio31_func:39,config_gpio3_func:[39,53],config_gpio4_func:39,config_gpio5_func:39,config_gpio6_func:39,config_gpio7_func:39,config_gpio8_func:39,config_gpio9_func:39,configur:[0,2,14],conn:[1,29],connect:[1,14,25,29],consol:20,continuous_conv_mod:[3,40],control:[0,1,14],conveni:1,convert:[3,26],core:28,count:10,cp:18,cpha:11,cpol:11,cpu:[22,23,32],creat:21,cs1:55,cs1_high:55,cs1_low:55,cs:11,csky:22,ctrl:0,cts:13,cube:[23,25,30],custom:17,cw:53,d0:44,d1:44,d2:44,d3:44,dac0:6,dac:[3,15],data:[1,9,13,14,16,29,33,55],data_appear:29,data_len:[1,14],data_manu:29,data_s:9,data_uuid:29,data_width:[3,40],databit:[13,33,57],datas:[11,55],datasheet:32,dc12v:53,dc5v:53,dc:55,dc_high:55,debug:[20,22,23],debug_log:[33,57],debugg:[18,19,21,22,26],default_config:55,defer:1,defin:[0,1,2,3,6,7,8,9,10,11,12,13,33,39,40,42,47,52,53,55,57],deivce_ctrl_pwm_dutycycle_config:[10,52,53],deivce_ctrl_pwm_frequence_config:10,deivce_ctrl_pwm_it_pulse_count_config:10,delai:1,demo:[2,20,29,33,39,40,42,44,45,47,50,52,53,55,57],desc:16,descript:[3,6,9,10,11,12,13,14],determin:1,dev:[0,1,3,6,8,9,10,11,12,13,14,18,20,23,25,26,30,33,42,57],devcub:30,devic:[0,1,3,6,8,9,10,11,12,13,14,21,26,28,33,39,40,42,47,52,57],device_class_adc:0,device_class_camera:0,device_class_dma:0,device_class_gpio:0,device_class_i2:0,device_class_i2c:0,device_class_non:0,device_class_pwm:0,device_class_sdio:0,device_class_sec_hash:0,device_class_spi:0,device_class_tim:0,device_class_typ:0,device_class_uart:0,device_class_usb:0,device_clos:55,device_contorl:[52,53],device_control:[33,40,42,52,53,57],device_ctrl_adc_channel_config:[3,40],device_ctrl_adc_channel_start:3,device_ctrl_adc_channel_stop:3,device_ctrl_adc_tsen_off:3,device_ctrl_adc_tsen_on:3,device_ctrl_adc_vbat_off:3,device_ctrl_adc_vbat_on:3,device_ctrl_attach_rx_dma:[0,3,11,13,14],device_ctrl_attach_tx_dma:[0,11,13,14],device_ctrl_clr_int:[0,3,6,10,11,12,13,33,57],device_ctrl_config:[0,3,13],device_ctrl_get_config:[0,9,12],device_ctrl_get_int:0,device_ctrl_get_match_statu:12,device_ctrl_i2s_attach_rx_dma:9,device_ctrl_i2s_attach_tx_dma:9,device_ctrl_resum:[0,10,11,12],device_ctrl_resvd1:0,device_ctrl_resvd2:0,device_ctrl_rx_dma_resum:[0,11,13],device_ctrl_rx_dma_suspend:[0,11,13],device_ctrl_set_int:[0,3,6,10,11,12,13,14,33,42,57],device_ctrl_spi_config_clock:11,device_ctrl_suspend:[0,10,11,12],device_ctrl_timer_ch_start:12,device_ctrl_timer_ch_stop:12,device_ctrl_tx_dma_resum:[0,11,13],device_ctrl_tx_dma_suspend:[0,11,13],device_ctrl_uart_get_rx_fifo:13,device_ctrl_uart_get_tx_fifo:13,device_ctrl_usb_dc_enum_off:14,device_ctrl_usb_dc_enum_on:14,device_ctrl_usb_dc_get_ep_rx_fifo_cnt:14,device_ctrl_usb_dc_get_ep_tx_fifo_cnt:14,device_ctrl_usb_dc_set_ack:14,device_ctrl_usb_dc_set_rx_dma:14,device_ctrl_usb_dc_set_tx_dma:14,device_find:[17,33,40,42,47,52,53,55,57],device_found:29,device_oflag_dma_rx:[0,3,6,8,9,10,11,13],device_oflag_dma_tx:[0,3,6,8,9,10,11,13],device_oflag_int:12,device_oflag_int_rx:[0,3,6,8,10,11,13,33,57],device_oflag_int_tx:[0,3,6,8,10,11,13],device_oflag_pol:12,device_oflag_rdonli:0,device_oflag_rdwr:[0,17,33,42,47,52,53,55,57],device_oflag_stream_rx:[0,3,6,8,9,10,11,13,40,55],device_oflag_stream_tx:[0,3,6,8,9,10,11,13,33,52,53,55,57],device_oflag_wronli:0,device_open:[2,17,33,39,40,42,47,52,53,55,57],device_read:40,device_set_callback:[17,33,42,57],device_status_typ:0,device_writ:57,differential_mod:[3,40],digit:3,dir:53,direct:[1,6,11,39,42,55],disabl:[3,10,40,53],disconnect:[1,29],displai:55,dl:[21,26],dlist_t:0,dma0_ch0_config:[6,42],dma0_ch0_index:[6,42],dma0_ch1_config:6,dma0_ch1_index:6,dma0_ch2_config:[6,39],dma0_ch2_index:6,dma0_ch3_config:6,dma0_ch3_index:6,dma0_ch4_config:6,dma0_ch4_index:6,dma0_ch5_config:6,dma0_ch5_index:6,dma0_ch6_config:6,dma0_ch6_index:6,dma0_ch7_config:6,dma0_ch7_index:6,dma:[0,3,8,9,10,11,13,14,15,28],dma_burst_16byt:6,dma_burst_1byt:6,dma_burst_4byt:6,dma_burst_8byt:6,dma_ch0:42,dma_ch0_index:6,dma_ch2:39,dma_channel_get_statu:6,dma_channel_start:42,dma_clos:6,dma_control:6,dma_dev:[6,39],dma_devic:6,dma_device_t:6,dma_dst_buff:42,dma_event_complet:6,dma_event_typ:6,dma_index_typ:6,dma_lli_ctrl_t:6,dma_lli_cycle_mod:6,dma_lli_once_mod:[6,39,42],dma_m2m:42,dma_max_index:6,dma_memory_to_memori:[6,42],dma_memory_to_periph:[6,39],dma_open:6,dma_periph_to_memori:6,dma_periph_to_periph:6,dma_regist:42,dma_reload:42,dma_request_adc0:6,dma_request_dac0:6,dma_request_i2c0_rx:6,dma_request_i2c0_tx:6,dma_request_i2s_rx:6,dma_request_i2s_tx:6,dma_request_non:[6,39,42],dma_request_spi0_rx:6,dma_request_spi0_tx:6,dma_request_uart0_rx:6,dma_request_uart0_tx:6,dma_request_uart1_rx:6,dma_request_uart1_tx:[6,39],dma_request_usb_ep0:6,dma_request_usb_ep1:[6,39],dma_request_usb_ep2:6,dma_request_usb_ep3:6,dma_request_usb_ep4:6,dma_request_usb_ep5:6,dma_request_usb_ep6:6,dma_request_usb_ep7:6,dma_src_buff:42,dma_transfer_dir_typ:6,dma_transfer_don:42,dma_transfer_width_16bit:6,dma_transfer_width_32bit:[6,42],dma_transfer_width_8bit:[6,39],dmax_devic:6,don:1,done:[14,42],download:[18,19,20,21,22,23],dparamet:33,driver:[21,26,55],dsp:9,dst_addr:6,dst_burst_siz:6,dst_req:[6,39,42],dst_width:[6,39,42],dtcm:32,duti:1,dutycycl:52,e24:28,echo:[18,33],eclips:26,edit:20,eeprom:47,effect:1,elf:[18,20,22],els:[40,53,55,57],enabl:[1,2,7,40,45,53],encrypt:1,end:2,endif:[1,3,6,8,9,10,11,12,13,33,39,40,42,47,52,53,55,57],enumer:14,ep0:[6,14],ep1:[6,14],ep2:6,ep3:6,ep4:6,ep5:6,ep6:6,ep7:[6,14],ep:14,ep_addr:14,ep_cfg:14,ep_ena:14,ep_mp:14,ep_typ:14,epf:20,equal:9,err:[1,29],error:[1,14,29,42],establish:14,even:[1,13],event:[0,3,6,10,11,12,13,14],exampl:[18,20,22,23,29,30,32,33,39,40,42,44,45,47,50,52,53,55,57],except:14,exe:[19,20,21,26],exist:20,fail:40,fatf:28,featur:1,field:1,fifo:[3,9,11,13,14,57],fifo_threshold:[3,9,11,13,33,40,55,57],file:[18,20,21],filter:1,filter_dup:1,find:[33,40,42,47,52,53,55,57],finish:[20,21],finsh:20,flag:[0,1,3,6,8,9,10,11,12,13,14,29,47],flash:[2,21,22,23,30],flashdownload:22,format_sel:39,frame:[9,14],frame_s:9,freerto:24,freerun:12,frequenc:[52,53],friend:1,ft2232c:26,ftdi:26,func1:25,func2:25,gain:[3,40],gap:1,gate:32,gatt:1,gc0308:39,gdb:20,gener:[1,7,20],generate_bin:24,get:0,get_adc_key_valu:40,get_attr:29,git:[18,19,20],gite:[18,19,20],github:26,gnd:[20,25],gpio0:39,gpio10:[53,55],gpio11:[39,45,47,53],gpio11_int_callback:45,gpio12:53,gpio14:[33,57],gpio15:[33,57],gpio16:[39,47],gpio18:40,gpio19:55,gpio1:39,gpio20:55,gpio21:55,gpio22:[44,52,55],gpio29:[39,44],gpio2:39,gpio30:[39,44],gpio31:[39,44],gpio3:[39,53],gpio4:39,gpio5:39,gpio6:39,gpio7:39,gpio8:39,gpio9:39,gpio:[2,15,20,28,32,33,39,40,47,52,53,55,57],gpio_af14_uart5:2,gpio_async_falling_triger_int_mod:7,gpio_async_high_level_int_mod:7,gpio_async_low_level_int_mod:7,gpio_async_rising_triger_int_mod:7,gpio_attach_irq:45,gpio_blink:[20,44],gpio_cas:24,gpio_fun_adc:40,gpio_fun_cam:39,gpio_fun_clk_out:39,gpio_fun_i2c:[39,47],gpio_fun_pwm:[52,53],gpio_fun_spi:55,gpio_fun_uart0_rx:[33,57],gpio_fun_uart0_tx:[33,57],gpio_fun_usb:39,gpio_initstruct:2,gpio_inittypedef:2,gpio_input_mod:7,gpio_input_pd_mod:7,gpio_input_pp_mod:7,gpio_int:45,gpio_irq_en:45,gpio_mode_af_pp:2,gpio_nopul:2,gpio_output_mod:[7,55],gpio_output_pd_mod:7,gpio_output_pp_mod:[7,44],gpio_pin_11:45,gpio_pin_12:2,gpio_pin_13:2,gpio_pin_22:44,gpio_pin_29:44,gpio_pin_30:44,gpio_pin_31:44,gpio_set_mod:[44,45,55],gpio_speed_freq_low:2,gpio_sync_falling_triger_int_mod:7,gpio_sync_high_level_int_mod:7,gpio_sync_low_level_int_mod:7,gpio_sync_rising_triger_int_mod:[7,45],gpio_test_cas:24,gpio_writ:[44,55],gpiob:2,guest:21,gz:18,hal:[0,2],hal_adc:3,hal_dma:6,hal_drv:55,hal_gpio_init:2,hal_i2c:8,hal_init:2,hal_nvic_enableirq:2,hal_nvic_setprior:2,hal_pwm:10,hal_spi:11,hal_tim:12,hal_uart:13,hal_uart_mspinit:2,handl:[0,1],happen:1,hardwar:[1,14],hbn:32,hci:1,hd12:25,hd1:25,hd3:25,hd8:25,header:39,hello:33,hellowd:[18,20,22,23,33],helloworld:[18,20,22,23],hellwd:33,help:[16,22,23],here:21,hid:34,high:1,host:[1,14],http:[17,18,19,20,21,26],huart:2,human:17,i2c0:[8,47],i2c0_config:[8,39,47],i2c0_index:[8,47],i2c1:8,i2c:[6,15,28],i2c_at24cxx:47,i2c_dev:8,i2c_devic:8,i2c_device_t:8,i2c_hw_mod:[8,39,47],i2c_index_typ:8,i2c_max_index:8,i2c_msg:8,i2c_msg_t:[8,47],i2c_open:8,i2c_rd:[8,47],i2c_regist:47,i2c_scl:[39,47],i2c_sda:[39,47],i2c_transf:47,i2c_wr:[8,47],i2cx_devic:8,i2s0:9,i2s0_config:9,i2s0_index:9,i2s:[6,15,25],i2s_channel_num_t:9,i2s_clos:9,i2s_control:9,i2s_data_len_16:9,i2s_data_len_24:9,i2s_data_len_32:9,i2s_data_len_8:9,i2s_data_size_t:9,i2s_dev:9,i2s_devic:9,i2s_device_t:9,i2s_frame_len_16:9,i2s_frame_len_24:9,i2s_frame_len_32:9,i2s_frame_len_8:9,i2s_frame_size_t:9,i2s_fs_channels_num_2:9,i2s_fs_channels_num_3:9,i2s_fs_channels_num_4:9,i2s_fs_channels_num_mono:9,i2s_get_rx_fifo_cmd:9,i2s_get_tx_fifo_cmd:9,i2s_index_typ:9,i2s_max_index:9,i2s_mode_dsp_a:9,i2s_mode_dsp_b:9,i2s_mode_left:9,i2s_mode_mast:9,i2s_mode_right:9,i2s_mode_slav:9,i2s_mode_std:9,i2s_mode_t:9,i2s_open:9,i2sx_devic:9,iap:28,ic:9,id:[1,6,8,9,11,12,13,14,33,39,42,47,55,57],ident:1,identifi:1,ifdef:[3,6,8,9,10,11,12,13],ifndef:[3,6,8,9,10,11,12,13,33,39,40,42,47,52,53,55,57],iis_mod:9,il9431:55,imag:21,in_ep:14,incom:1,index:[3,6,8,9,10,11,12,13,14,42],indic:1,info:1,init:2,initi:[2,14],input:7,instal:[18,26],instanc:2,int8_t:1,inter:[8,9],interfac:[2,11,17,18,21,26],interface_mod:9,interface_mode_t:9,intergr:8,interrupt:[0,2],interv:1,interval_max:[1,29],interval_min:[1,29],into:20,iot:[20,25,28],is:[1,9,13,14],is_stal:14,isoch:39,isregist:29,it:1,it_pulse_count:[10,52,53],itcm:32,its:1,jlink:[25,26],jlink_bl_mcu_sdk:20,jtag:[21,25,26,28],jtag_tck:25,jtag_tdi:25,jtag_tdo:25,jtag_tm:25,justifi:9,k_delayed_work:1,k_fifo:1,k_forev:29,k_sem_giv:29,k_sem_tak:29,k_work:1,keep:1,kei:[1,40],keil:[33,39,40,42,44,45,47,50,52,53,55,57],key_no_valu:40,keyvalu:40,l2cap:1,lab:[2,20,25,26,30,32],latenc:1,launch:20,lcd:54,lcd_c:55,lcd_cs_pin:55,lcd_dc:55,lcd_dc_pin:55,lcd_wr_byte:55,lcd_wr_halfword:55,lcd_wr_word:55,le:1,led:[26,52],led_breath:52,left:9,len:[8,29,47],length:[11,13,29],level:[1,32],libftdi:26,libhidapi:26,libusb:26,lin:13,link:[19,20,26],linker_script:24,linux:[27,32],list:[0,6,21,26],ll:1,lli:6,lli_cfg:6,load:[22,23],loader:22,local:1,log:20,lost:14,low:1,lowpow:28,lowpower_test:32,lpm:14,lpn:1,lsb:11,lsusb:26,lts:26,ma:32,main:[2,18,20,24],maix:[21,26],make:[20,24,29,32,33,39,40,42,44,45,47,50,52,53,55,57],manag:1,manufactur:1,map:1,mass:17,master:9,max_data_len:14,maximum:1,mcu:[0,2,3,6,7,8,9,10,11,12,13,14,17,18,19,20,21,25,26,32],mdk:[33,39,40,42,44,45,47,50,52,53,55,57],meaning:1,media:18,memori:[6,42],mesh:1,mini:[18,21,25],minimum:1,miso:55,mjpeg:39,mkdir:18,mobaxterm:33,mode:[1,2,7,8,9,11,39,47,55],modul:10,mosi:55,motor_ch0:53,motor_ch1:53,motor_ch2:53,motor_ch3:53,motor_dir_typ:53,motor_set_dir:53,ms:1,msb:11,msc:34,msg:[8,33,40,42,45,47,50,57],mtimer:28,must:[1,9,29],mv:40,mx_gpio_init:2,mx_quadspi_init:2,mx_usart1_uart_init:2,my_cas:24,name:[0,1,3,6,8,9,10,11,12,13,14,29],name_max:0,need:1,neg_channel:40,negchlist:40,net_buf:1,next:[1,20],no:[1,13],node:[0,1],none:6,not:1,notif:29,notifi:[1,29],notify_func:29,notify_poll_sem:29,num:8,observ:1,occ:19,occur:1,ocram:32,octam:32,odd:13,of:[0,1,2,14],ofast:24,offset:29,oflag:[0,3,6,8,9,10,11,12,13,14],ok:22,one:[13,29],onli:[1,9],oob:1,open:[0,40],openocd:[23,26],openocd_debug:[22,23],option:[1,21,26,29],or:[1,19,21,25,29,33],org:18,os:50,osr:3,ostrac:19,other:1,otherwis:1,out:[14,20],out_ep:14,outgo:1,output:7,over:20,own:1,own_address_typ:1,packet:[1,14],page:32,pair:1,pane:[22,23],param:[1,29],paramet:1,parent:[0,3,6,8,9,10,11,12,13,14],pariti:[13,33,57],passkei:1,path:18,pb12:2,pb13:2,pb:1,pc:[14,20,25,26,32,33],pcm:9,pdf:32,pds:32,peer:1,pending_no_cb:1,period:[10,52,53],peripher:[1,2,6,11,22,23,28],peripheral_clock_adc:4,peripheral_clock_dac:4,peripheral_clock_i2:4,peripheral_clock_i2c:4,peripheral_clock_spi:4,peripheral_clock_typ:4,peripheral_clock_uart:4,peripheral_config:[3,6,8,9,10,11,12,13,33,39,40,42,47,52,53,55,57],phase:[8,39,47],picocom:18,pin:[1,2,7,33,39,40,44,45,47,52,53,55,57],pinmux:7,pinmux_config:[7,33,39,40,52,53,55,57],pinmux_select:39,pinmux_uvc:39,pix:25,pl_trig_src:12,plu:[18,19,26],polarity_invert_mod:[10,53],port:[14,21,26],pos:[0,3,9,11,13,14],pos_channel:40,poschlist:40,power:[1,32],prefer:20,preload:12,press:40,privaci:1,privat:1,profil:[1,19],program:[21,30],project:[20,22,23],provid:1,provis:1,proxi:1,psram:21,pull:2,puls:10,purpos:7,pvparamet:29,pwm:[6,15,28],pwm_breath_l:52,pwm_cfg:[52,53],pwm_ch0:53,pwm_ch0_config:[10,53],pwm_ch0_index:[10,53],pwm_ch1:53,pwm_ch1_config:[10,53],pwm_ch1_index:[10,53],pwm_ch2:[52,53],pwm_ch2_config:[10,52,53],pwm_ch2_index:[10,52,53],pwm_ch3:53,pwm_ch3_config:[10,53],pwm_ch3_index:[10,53],pwm_ch4_config:10,pwm_ch4_index:10,pwm_channel_start:[52,53],pwm_clk:10,pwm_close:10,pwm_control:10,pwm_dev:[10,52,53],pwm_devic:10,pwm_device_t:10,pwm_div:10,pwm_dutycycle_config_t:[10,53],pwm_event_complet:10,pwm_event_typ:10,pwm_index_typ:10,pwm_max_index:10,pwm_open:10,pwm_regist:[52,53],pwm_step_motor:53,pwmx_devic:10,queue:1,radio:1,ram:[32,43],random:1,rang:1,rb:14,read:[0,1,47],read_byt:14,reason:1,receiv:[13,14],recurs:[18,19,20],recv_buf:11,ref:1,refresh:[21,25],regist:[22,23],relai:1,remot:1,replac:[21,26],report:14,request:[1,6],requir:1,required_sec_level:1,reset:[2,14],resolut:1,resolv:1,resourc:1,respons:1,result_v:40,resum:[0,1,14],ret_byt:14,rf:18,rgb555:39,right:9,rinbuff:14,ring_buffer_typ:14,ringbuff:14,risc:[20,28,50],riscv64:18,rise:45,role:1,rom:[21,25],root_clock_source_32k_clk:53,root_clock_source_bclk:[39,47,55],root_clock_source_pll_96m:[33,39,57],root_clock_source_xclk:[40,52],rpa:1,rssi:1,rst:[18,20,21,22,23,25],rtc:32,rto:[13,57],rts:13,run:32,rv:[1,18,19,21,26],rx0:25,rx:[1,6,11,13,14,32],rx_dma:[9,11,13,14],rx_fifo:57,rx_len:1,rxd0:20,rxd:25,same:29,sampl_freq_hz:9,scan:1,scan_param:1,scan_rsp:1,sclk:11,sco:1,sd:1,sd_len:1,sdi:11,sdk:[2,7,18,19,20,22,23,24],sdk_path:[29,33,39,40,42,44,45,47,50,52,53,55,57],sdo:11,sec:1,sec_level:1,secur:1,segger:[20,21],select:21,send:29,send_buf:11,sent:1,serial:[11,14,22,23,26],server:1,servic:[1,29],set:[0,1,22,23,24],setup:[14,19],shell:28,shell_cmd_export:33,shell_cmd_export_alia:33,shell_handl:33,shell_init:33,shell_irq_callback:33,show:21,sifiv:28,simpl:1,sipe:[18,19,21,26],size:[0,3,6,9,10,11,12,13,14,33,42,57],size_t:1,sizeof:29,sky:22,slave:[1,9],slaveaddr:[8,47],sleeptim:32,smp:1,so:1,solicit:1,sound:9,sourc:18,spec:32,specif:1,specifi:1,speed:[2,21],spi0:[11,55],spi0_config:[11,55],spi0_index:[11,55],spi:[0,6,15,25,28],spi_clos:11,spi_config:55,spi_control:11,spi_datasize_16bit:11,spi_datasize_24bit:11,spi_datasize_32bit:11,spi_datasize_8bit:[11,55],spi_dev:11,spi_devic:11,spi_device_t:11,spi_event_rx_fifo:11,spi_event_tx_fifo:11,spi_event_typ:11,spi_event_unknown:11,spi_index_typ:11,spi_lcd:55,spi_lsb_byte0_direction_first:11,spi_lsb_byte3_direction_first:11,spi_master_mod:[11,55],spi_max_index:11,spi_miso:55,spi_mosi:55,spi_msb_byte0_direction_first:[11,55],spi_msb_byte3_direction_first:11,spi_open:11,spi_phase_1edg:[11,55],spi_phase_2edg:11,spi_polarity_high:11,spi_polarity_low:[11,55],spi_read:11,spi_regist:55,spi_sck:55,spi_slvae_mod:11,spi_swap_en:55,spi_transfer_tpye_24bit:11,spi_transfer_type_16bit:[11,55],spi_transfer_type_32bit:[11,55],spi_transfer_type_8bit:[11,55],spi_transmit:55,spi_writ:11,spix_devic:11,src_addr:6,src_burst_siz:6,src_req:[6,39,42],src_width:[6,39,42],stack:[1,28],stall:14,start:[14,22,23],state:[1,33,42,57],statu:[0,14],std:9,step:20,stm32:2,stm32xxx_hal_msp:2,stop:[1,13,22,23,53],stopbit:[13,33,57],storag:17,stream:39,strlen:29,struct:[0,1,3,6,8,9,10,11,12,13,14,17,29,33,42,47,52,57],structur:0,sub_addr_0byt:8,sub_addr_1byt:[8,47],sub_addr_2byt:8,subaddr:[8,47],subsystem:18,subvers:1,success:[21,22,42,47],sudo:[18,26],support:1,support_bl:29,support_float:[29,40],support_freerto:[24,29],support_shel:[32,33],suspend:[0,14],sw1:[40,45],sw5:40,sync:13,sys_slist_t:1,sysinit:2,system:[2,22,23],system_clock_bclk:4,system_clock_fclk:4,system_clock_root_clock:4,system_clock_typ:4,system_clock_xclk:4,systemclock_config:2,systick:[2,50],systick_isr:50,tab:16,tabl:7,take:1,taken:1,tar:18,target:[1,20],target_required_lib:24,target_required_private_opt:24,target_required_src:24,task:[1,42],task_prior:1,tck:25,tdi:25,tdo:25,termin:26,test1:24,test2:24,test:33,tft:54,that:1,the:[1,2,14,29],thi:[1,29],threshold_high:[10,52,53],threshold_low:[10,52,53],tick:50,time:29,timeout:1,timer:15,timer_ch0_config:12,timer_ch0_index:12,timer_ch1_config:12,timer_ch1_index:12,timer_clos:12,timer_cnt_freerun:12,timer_cnt_mod:12,timer_cnt_preload:12,timer_control:12,timer_dev:12,timer_devic:12,timer_device_t:12,timer_event_comp0:12,timer_event_comp1:12,timer_event_comp2:12,timer_event_typ:12,timer_event_unknown:12,timer_index_typ:12,timer_max_index:12,timer_open:12,timer_pl_trig_comp0:12,timer_pl_trig_comp1:12,timer_pl_trig_comp2:12,timer_pl_trig_non:12,timer_pl_trig_t:12,timer_user_cfg_t:12,tk:1,tms:25,to:[1,3,6,21,29,42],togeth:1,tool:[21,22,26],tp:29,tp_wr_hdl:29,transceiv:14,transfer:[6,39,42],transfer_mod:[6,39,42],transfer_s:6,transmitt:13,trigger:45,ttl:[18,19,20,25,30,32],ttyacm0:26,ttyusb0:26,ttyusb1:[18,26],two:13,tx0:25,tx:[1,6,11,13,14],tx_complet:1,tx_complete_work:1,tx_dma:[9,11,13,14],tx_mtu_siz:29,tx_pend:1,tx_queue:1,txd0:20,txd:25,txt:24,tyep:6,type:[0,1,4,11,19,20,21,25,26],typedef:[0,3,6,8,9,10,11,12,13,14],u16_t:[1,29],u32_t:1,u8_t:[1,29],ua:32,uac:17,uart0:[6,13,25,33,57],uart0_config:[13,33,57],uart0_index:13,uart0_rx:[33,57],uart0_tx:[33,57],uart1:6,uart1_config:13,uart1_index:13,uart5:2,uart5_irqn:2,uart5_mspinit:2,uart5_rx:2,uart5_tx:2,uart:[15,18,28,32,33],uart_clos:13,uart_control:13,uart_data_len_5:13,uart_data_len_6:13,uart_data_len_7:13,uart_data_len_8:[13,33,57],uart_databits_t:13,uart_dev:13,uart_devic:13,uart_device_t:13,uart_echo:57,uart_event_rto:[13,57],uart_event_rx_end:13,uart_event_rx_fifo:[13,33,57],uart_event_tx_end:13,uart_event_tx_fifo:13,uart_event_typ:13,uart_event_unknown:13,uart_handletypedef:2,uart_index_typ:13,uart_irq_callback:57,uart_it_typ:13,uart_max_index:13,uart_open:13,uart_par_even:13,uart_par_non:[13,33,57],uart_par_odd:13,uart_param_cfg_t:13,uart_parity_t:13,uart_read:13,uart_regist:[33,57],uart_rto_it:57,uart_rx_fifo_it:[33,57],uart_stop_on:[13,33,57],uart_stop_one_d_f:13,uart_stop_two:13,uart_stopbits_t:13,uart_writ:13,uartx_devic:13,ubuntu:[18,26],uint16_t:[0,3,6,8,9,10,11,12,13,14,55],uint32_t:[0,3,4,6,7,8,9,10,11,12,13,14,33,42,45,50,55,57],uint8_t:[1,3,6,7,8,9,10,11,12,13,14,16,29,33,47,55,57],uln2003:53,undirect:29,uniform:1,union:1,univers:[13,14],unknow:29,unsign:1,updat:[1,18],update_work:1,upload:18,usart:30,usb0:14,usb1:14,usb2:17,usb:[6,15,18,19,20,21,25,26,28,30,32,33,39],usb_clos:14,usb_control:14,usb_dc:17,usb_dc_devic:14,usb_dc_device_t:14,usb_dc_ep_cfg:14,usb_dc_ep_clear_stal:17,usb_dc_ep_clos:17,usb_dc_ep_is_stal:17,usb_dc_ep_open:17,usb_dc_ep_read:17,usb_dc_ep_set_stal:17,usb_dc_ep_state_t:14,usb_dc_ep_writ:17,usb_dc_event_callback:17,usb_dc_event_configur:14,usb_dc_event_connect:14,usb_dc_event_disconnect:14,usb_dc_event_ep0_in_notifi:14,usb_dc_event_ep0_out_notifi:14,usb_dc_event_ep_in_notifi:14,usb_dc_event_ep_out_notifi:14,usb_dc_event_error:14,usb_dc_event_reset:14,usb_dc_event_resum:14,usb_dc_event_setup_notifi:14,usb_dc_event_sof:14,usb_dc_event_suspend:14,usb_dc_event_typ:14,usb_dc_event_unknown:14,usb_dc_regist:17,usb_dc_set_address:17,usb_dm:39,usb_dp:39,usb_index:17,usb_index_typ:14,usb_open:14,usb_read:14,usb_stack:17,usb_video:39,usb_writ:14,usbd_endpoint_cfg:14,usbd_ep_clear_stal:17,usbd_ep_clos:17,usbd_ep_is_stal:17,usbd_ep_open:17,usbd_ep_read:17,usbd_ep_set_stal:17,usbd_ep_writ:17,usbd_set_address:17,use:1,used:1,user:[2,30],uses:1,using:1,usr:18,uuid:1,uvc:[17,39],uyvi:39,v10:21,v11:[21,26],v3:18,valu:[1,7],vbat:3,vdd33:25,vdd:[3,25],vendor:17,version:1,vi:18,video:34,view:[21,22,23],viewer:[22,23],volt:40,vref:[3,25,40],vtref:25,warn:1,we:1,welcom:20,wfi:32,wget:18,when:1,which:1,whitelist:1,whitout:[22,23],width:10,will:1,win:26,window:[1,18,32,33,39,40,42,44,45,47,50,52,53,55,57],windows_cdk:27,windows_eclips:27,winusb1:17,winusb2:17,winusb:[21,26],wireless:0,without:1,work:1,workspac:20,world:33,write:[0,29],write_data_poll_sem:29,www:17,x86_64:18,xshell:33,xtal_ck:10,xxx:[3,6,8,9,10,11,12,13,20],xxx_board:[4,7],xxx_clock_config:4,xxx_close:0,xxx_config:2,xxx_control:0,xxx_devic:0,xxx_device_t:0,xxx_open:0,xxx_read:0,xxx_regist:0,xxx_write:0,xxxx_case:24,yuv:39,zadig:[21,26],zigbe:28,zxvf:18},titles:["1. API \u6982\u8ff0","6. BLE","2. Board \u914d\u7f6e\u7cfb\u7edf","3.9. ADC \u8bbe\u5907","3.1. \u65f6\u949f\u6811","3.10. DAC \u8bbe\u5907","3.5. DMA \u8bbe\u5907","3.2. GPIO \u8bbe\u5907","3.6. I2C \u8bbe\u5907","3.7. I2S \u8bbe\u5907","3.4. PWM \u8bbe\u5907","3.8. SPI \u8bbe\u5907","3.11. TIMER \u8bbe\u5907","3.3. UART \u8bbe\u5907","3.12. USB \u8bbe\u5907","3. Peripheral","4. Shell","5. USB Stack","2.3. Linux OR WSL \u73af\u5883\u5f00\u53d1\u6307\u5357","2.1. Windows \u4e0b\u4f7f\u7528 CDK (\u7c7b MDK Keil)\u5f00\u53d1\u6307\u5357","2.2. Windows \u4e0b\u4f7f\u7528 Eclipse \u5f00\u53d1\u6307\u5357","3. BLDevCube \u70e7\u5f55\u5de5\u5177\u6307\u5357","\u4f7f\u7528 CDK + CK-Link \u7f16\u8bd1\u8c03\u8bd5","\u4f7f\u7528 CDK + Sipeed RV-Debugger Plus \u7f16\u8bd1\u8c03\u8bd5","4. \u57fa\u4e8e cmake \u6846\u67b6\u65b0\u5efa\u5de5\u7a0b\u6307\u5357","5. \u786c\u4ef6\u8fde\u63a5\u6307\u5357","1. \u5f00\u53d1\u524d\u7684\u51c6\u5907","2. \u5f00\u53d1\u73af\u5883\u642d\u5efa\u6307\u5357","BL MCU SDK \u5f00\u53d1\u6307\u5357","6. BLE \u5ba2\u6237\u7aef\u548c\u670d\u52a1\u7aef\u4e92\u8fde","5. BOOT2 IAP","2. Fatfs \u6587\u4ef6\u7cfb\u7edf\u8bfb\u5199","4. LowPower \u4f4e\u529f\u8017\u8bc4\u4f30","1. Shell \u547d\u4ee4\u884c\u8c03\u8bd5","3. USB Device \u5e94\u7528","3.5. AUDIO - \u9ea6\u514b\u98ce","3.1. CDC - \u865a\u62df\u4e32\u53e3","3.3. HID - \u952e\u76d8&\u9f20\u6807","3.2. MSC - U \u76d8","3.4. VIDEO - \u6444\u50cf\u5934","8.1. ADC - \u6309\u952e\u68c0\u6d4b\u7535\u538b","8. ADC \u793a\u4f8b","5.1. DMA - RAM\u95f4\u6570\u636e\u642c\u8fd0","5. DMA \u793a\u4f8b","1.1. GPIO \u8f93\u51fa - \u6d41\u6c34\u706f","1.2. GPIO \u4e2d\u65ad - \u6309\u952e\u68c0\u6d4b","1. GPIO \u793a\u4f8b","7.1. I2C - AT24CXX \u8bfb\u5199","7. I2C \u793a\u4f8b","4. MTIMER \u793a\u4f8b","4.1. MTIMER - \u7cfb\u7edf\u5b9a\u65f6\u5668","3. PWM \u793a\u4f8b","3.1. PWM - \u547c\u5438\u706f","3.2. PWM - \u9a71\u52a8\u6b65\u8fdb\u7535\u673a","6. SPI \u793a\u4f8b","6.1. SPI - TFT LCD \u663e\u793a","2. UART \u793a\u4f8b","2.1. UART - \u6570\u636e\u81ea\u6536\u53d1"],titleterms:{adc:[3,40,41],adc_regist:3,api:0,at24cxx:47,audio:[17,35],bl706_avb:25,bl706_iot:25,bl:28,bl_mcu_sdk:[18,19,20],bldevcub:21,ble:[1,29],ble_controller_init:1,blxxx_config:2,board:2,boot2:30,bouffalo:21,bt_conn_auth_cancel:1,bt_conn_auth_cb_regist:1,bt_conn_auth_passkey_confirm:1,bt_conn_auth_passkey_entri:1,bt_conn_auth_pincode_entri:1,bt_conn_cb_regist:1,bt_conn_create_auto_l:1,bt_conn_create_auto_stop:1,bt_conn_create_l:1,bt_conn_create_slave_l:1,bt_conn_disconnect:1,bt_conn_enc_key_s:1,bt_conn_get_info:1,bt_conn_get_remote_dev_info:1,bt_conn_get_secur:1,bt_conn_le_param_upd:1,bt_conn_set_secur:1,bt_enabl:1,bt_get_local_address:1,bt_le_adv_start:1,bt_le_adv_stop:1,bt_le_adv_update_data:1,bt_le_read_rssi:1,bt_le_scan_start:1,bt_le_scan_stop:1,bt_le_set_auto_conn:1,bt_le_set_chan_map:1,bt_le_whitelist_add:1,bt_le_whitelist_clear:1,bt_le_whitelist_rem:1,bt_set_bond:1,bt_set_tx_pwr:1,bt_unpair:1,cdc:[17,36],cdk:[19,22,23],ck:[22,25],client:29,clock_config:2,cmake:[18,24],cube:21,dac:5,debugg:[23,25],dev:21,devic:[17,34],device_clos:[0,3,6,9,10,11,12,13,14],device_control:[0,3,6,9,10,11,12,13,14],device_find:0,device_open:[0,3,6,8,9,10,11,12,13,14],device_read:[0,3,9,11,13,14],device_regist:0,device_set_callback:[0,3,6,10,11,12,13,14],device_unregist:0,device_writ:[0,9,11,13,14],dma:[6,42,43],dma_channel_check_busi:6,dma_channel_start:6,dma_channel_stop:6,dma_channel_upd:6,dma_regist:6,dma_reload:6,eclips:20,exampl:24,fatf:31,gcc:24,gpio:[7,44,45,46],gpio_attach_irq:7,gpio_irq_en:7,gpio_read:7,gpio_set_mod:7,gpio_toggl:7,gpio_writ:7,hci_driver_init:1,hello:[18,19,20,22,23],hid:[17,37],i2c:[8,47,48],i2c_regist:8,i2c_transf:8,i2s:9,i2s_regist:9,iap:30,jlink:21,keil:19,lab:21,lcd:55,ld:24,link:[22,25],linux:[18,26],lowpow:32,make:18,mcu:28,mdk:19,msc:[17,38],mtimer:[49,50],openocd:21,option:24,or:18,peripher:15,peripheral_clock_get:4,peripheral_config:2,pinmux_config:2,plu:[23,25],port:17,pwm:[10,51,52,53],pwm_channel_dutycycle_upd:10,pwm_channel_freq_upd:10,pwm_channel_start:10,pwm_channel_stop:10,pwm_it_pulse_count_upd:10,pwm_regist:10,ram:42,risc:18,rv:[23,25],sdk:28,server:29,shell:[16,33],shell_cmd_export:16,shell_cmd_export_alia:16,shell_handl:16,shell_init:16,sipe:[23,25],spi:[11,54,55],spi_rec:11,spi_regist:11,spi_transmit:11,spi_transmit_rec:11,stack:17,stm32cubemx:2,system_clock_get:4,table1:[9,12,14],table2:14,tft:55,timer:12,timer_regist:12,uart:[13,21,56,57],uart_regist:13,usb:[14,17,34],usb_dc_ep_clear_stal:14,usb_dc_ep_clos:14,usb_dc_ep_is_stal:14,usb_dc_ep_open:14,usb_dc_ep_read:14,usb_dc_ep_set_stal:14,usb_dc_ep_writ:14,usb_dc_init:17,usb_dc_receive_to_ringbuff:14,usb_dc_regist:14,usb_dc_send_from_ringbuff:14,usb_dc_set_address:14,usb_device_is_configur:17,usbd_class_add_interfac:17,usbd_desc_regist:17,usbd_interface_add_endpoint:17,usbd_msosv1_desc_regist:17,video:[17,39],window:[19,20,26],world:[18,19,20,22,23],wsl:18,xshell:32}}) \ No newline at end of file diff --git a/docs/development_guide/source/api_reference/peripheral/api_pwm.rst b/docs/development_guide/source/api_reference/peripheral/api_pwm.rst index 5a85db07..5fd7049b 100644 --- a/docs/development_guide/source/api_reference/peripheral/api_pwm.rst +++ b/docs/development_guide/source/api_reference/peripheral/api_pwm.rst @@ -250,17 +250,29 @@ PWM设备 ``event`` 类型如下 - dev 需要关闭的pwm通道句柄 -**pwm_channel_update** -^^^^^^^^^^^^^^^^^^^^^^^ +**pwm_channel_freq_update** +^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ -``pwm_channel_update`` 用于更新PWM通道的频率和占空比。实际是调用 ``device_control`` ,其中 ``cmd`` 为 ``DEVICE_CTRL_CONFIG``。 +``pwm_channel_freq_update`` 用于更新PWM通道的频率。实际是调用 ``device_control`` ,其中 ``cmd`` 为 ``DEIVCE_CTRL_PWM_FREQUENCE_CONFIG``。 .. code-block:: C - pwm_channel_update(dev,cfg) + pwm_channel_freq_update(dev,count) - dev 需要更新的pwm通道句柄 -- cfg pwm_config_t句柄 +- count 周期值 ,实际频率=pwm_clk/pwm_div/count + +**pwm_channel_dutycycle_update** +^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ + +``pwm_channel_dutycycle_update`` 用于更新PWM通道的频率。实际是调用 ``device_control`` ,其中 ``cmd`` 为 ``DEIVCE_CTRL_PWM_DUTYCYCLE_CONFIG``。 + +.. code-block:: C + + pwm_channel_dutycycle_update(dev,cfg) + +- dev 需要更新周期计数值的pwm通道句柄 +- cfg pwm_dutycycle_config_t句柄 **pwm_it_pulse_count_update** diff --git a/docs/development_guide/source/conf.py b/docs/development_guide/source/conf.py index df4c9db0..f7fdf7ae 100644 --- a/docs/development_guide/source/conf.py +++ b/docs/development_guide/source/conf.py @@ -21,10 +21,10 @@ project = 'BL_MCU_SDK 开发指南' copyright = '2021, BouffaloLab Co., Ltd' author = 'BouffaloLab MCU Team' -version = '0.2' +version = '0.3' # The full version, including alpha/beta/rc tags -release = '0.2' +release = '0.3' # -- General configuration --------------------------------------------------- diff --git a/docs/development_guide/source/get_started/get_started.rst b/docs/development_guide/source/get_started/get_started.rst index 8c95ed64..190d05b8 100644 --- a/docs/development_guide/source/get_started/get_started.rst +++ b/docs/development_guide/source/get_started/get_started.rst @@ -70,7 +70,7 @@ Sipeed RV-Debugger Plus 调试器在 Windows 系统中所以时我们需要将 .. figure:: img/sipeed_rv_debugger_7.png - .. important:: 3. 若在设备管理器中没有串口,显示其他设备,请到 `FTDI 官网 `_ 下载与系统匹配的驱动 + .. important:: 3. 若在设备管理器中没有显示串口,只显示其他设备,或者只在通用串行总线控制器中看到 ``USB Serial Converter A`` 和 ``USB Serial Converter B``,请到 `FTDI 官网 `_ 下载与系统匹配的驱动 .. figure:: img/sipeed_rv_debugger_6.png @@ -96,7 +96,7 @@ Sipeed RV-Debugger Plus 调试器在 Windows 系统中所以时我们需要将 **Linux** ^^^^^^^^^^^^^^^^^^^ -- 首先,将调试器 Type-C USB 接口使用 USB 数据线连接到 PC 主机,执行以下命令,查看是否存在 +- 首先,将调试器 Type-C USB 接口使用 USB 数据线连接到 PC 主机,打开 Terminal,在终端中输入 lsusb 命令,即可看到如下信息的设备 .. code-block:: bash @@ -104,16 +104,14 @@ Sipeed RV-Debugger Plus 调试器在 Windows 系统中所以时我们需要将 .. figure:: img/sipeed_rv_debugger_8.png -- 安装 Openocd 及其需要的依赖项 +- 如果上述图中不显示 FT2232C 字样,需要安装 ftdi 驱动 .. code-block:: bash - $ apt install openocd libusb-dev libftdi-dev libhidapi-dev + $ sudo apt install libusb-dev libftdi-dev libhidapi-dev - 重新插拔调试器使修改生效 -- 打开 Terminal,在终端中输入 lsusb 命令,即可看到如下信息的设备 -.. code-block::bash - - $ Bus 001 Device 003: ID 0403:6010 Future Technology Devices International, Ltd FT2232C Dual USB-UART/FIFO IC +- 调试代码需要安装 openocd,使用 openocd 0.11 版本 +.. note:: 在 linux 中,串口是使用 /dev/ttyUSB1,调试口使用 /dev/ttyUSB0,如果显示 /dev/ttyACM0 则表示进入 boot 模式 diff --git a/docs/development_guide/source/get_started/img/sipeed_rv_debugger_8.png b/docs/development_guide/source/get_started/img/sipeed_rv_debugger_8.png index 971389d8..09b85980 100644 Binary files a/docs/development_guide/source/get_started/img/sipeed_rv_debugger_8.png and b/docs/development_guide/source/get_started/img/sipeed_rv_debugger_8.png differ diff --git a/docs/development_guide/source/samples/basic samples/pwm/pwm_step_motor.rst b/docs/development_guide/source/samples/basic samples/pwm/pwm_step_motor.rst index 356ce0ba..d63e16bc 100644 --- a/docs/development_guide/source/samples/basic samples/pwm/pwm_step_motor.rst +++ b/docs/development_guide/source/samples/basic samples/pwm/pwm_step_motor.rst @@ -66,7 +66,7 @@ PWM - 驱动步进电机 .. code-block:: C :linenos: - #define BSP_PWM_CLOCK_SOURCE ROOT_CLOCK_SOURCE_RC_32K + #define BSP_PWM_CLOCK_SOURCE ROOT_CLOCK_SOURCE_32K_CLK #define BSP_PWM_CLOCK_DIV 32 - 配置 ``PWM`` 设备时钟源,见 ``bsp/board/bl706_iot/clock_config.h``