# ############################################################################## # Created by Base System Builder Wizard for Xilinx EDK 13.1 Build EDK_O.40d # Mon May 30 21:43:34 2011 # Target Board: xilinx.com sp605 Rev C # Family: spartan6 # Device: xc6slx45t # Package: fgg484 # Speed Grade: -3 # ############################################################################## PARAMETER VERSION = 2.1.0 PORT RESET = RESET, DIR = I, SIGIS = RST, RST_POLARITY = 1 PORT CLK_P = CLK, DIR = I, DIFFERENTIAL_POLARITY = P, SIGIS = CLK, CLK_FREQ = 200000000 PORT CLK_N = CLK, DIR = I, DIFFERENTIAL_POLARITY = N, SIGIS = CLK, CLK_FREQ = 200000000 PORT RS232_Uart_1_sout = RS232_Uart_1_sout, DIR = O PORT RS232_Uart_1_sin = RS232_Uart_1_sin, DIR = I PORT LEDs_4Bits_TRI_O = LEDs_4Bits_TRI_O, DIR = O, VEC = [0:3] PORT Push_Buttons_4Bits_TRI_I = Push_Buttons_4Bits_TRI_I, DIR = I, VEC = [3:0] PORT mcbx_dram_clk = mcbx_dram_clk, DIR = O PORT mcbx_dram_clk_n = mcbx_dram_clk_n, DIR = O PORT mcbx_dram_cke = mcbx_dram_cke, DIR = O PORT mcbx_dram_odt = mcbx_dram_odt, DIR = O PORT mcbx_dram_ras_n = mcbx_dram_ras_n, DIR = O PORT mcbx_dram_cas_n = mcbx_dram_cas_n, DIR = O PORT mcbx_dram_we_n = mcbx_dram_we_n, DIR = O PORT mcbx_dram_udm = mcbx_dram_udm, DIR = O PORT mcbx_dram_ldm = mcbx_dram_ldm, DIR = O PORT mcbx_dram_ba = mcbx_dram_ba, DIR = O, VEC = [2:0] PORT mcbx_dram_addr = mcbx_dram_addr, DIR = O, VEC = [12:0] PORT mcbx_dram_ddr3_rst = mcbx_dram_ddr3_rst, DIR = O PORT mcbx_dram_dq = mcbx_dram_dq, DIR = IO, VEC = [15:0] PORT mcbx_dram_dqs = mcbx_dram_dqs, DIR = IO PORT mcbx_dram_dqs_n = mcbx_dram_dqs_n, DIR = IO PORT mcbx_dram_udqs = mcbx_dram_udqs, DIR = IO PORT mcbx_dram_udqs_n = mcbx_dram_udqs_n, DIR = IO PORT rzq = rzq, DIR = IO PORT zio = zio, DIR = IO PORT Ethernet_Lite_MDIO = Ethernet_Lite_MDIO, DIR = IO PORT Ethernet_Lite_MDC = Ethernet_Lite_MDC, DIR = O PORT Ethernet_Lite_TXD = Ethernet_Lite_TXD, DIR = O, VEC = [3:0] PORT Ethernet_Lite_TX_EN = Ethernet_Lite_TX_EN, DIR = O PORT Ethernet_Lite_TX_CLK = Ethernet_Lite_TX_CLK, DIR = I PORT Ethernet_Lite_COL = Ethernet_Lite_COL, DIR = I PORT Ethernet_Lite_RXD = Ethernet_Lite_RXD, DIR = I, VEC = [3:0] PORT Ethernet_Lite_RX_ER = Ethernet_Lite_RX_ER, DIR = I PORT Ethernet_Lite_RX_CLK = Ethernet_Lite_RX_CLK, DIR = I PORT Ethernet_Lite_CRS = Ethernet_Lite_CRS, DIR = I PORT Ethernet_Lite_RX_DV = Ethernet_Lite_RX_DV, DIR = I PORT Ethernet_Lite_PHY_RST_N = Ethernet_Lite_PHY_RST_N, DIR = O BEGIN axi_interconnect PARAMETER INSTANCE = axi4_0 PARAMETER HW_VER = 1.02.a PORT interconnect_aclk = clk_100_0000MHzPLL0 PORT INTERCONNECT_ARESETN = proc_sys_reset_0_Interconnect_aresetn END BEGIN axi_interconnect PARAMETER INSTANCE = axi4lite_0 PARAMETER HW_VER = 1.02.a PARAMETER C_INTERCONNECT_CONNECTIVITY_MODE = 0 PORT INTERCONNECT_ARESETN = proc_sys_reset_0_Interconnect_aresetn PORT INTERCONNECT_ACLK = clk_50_0000MHzPLL0 END BEGIN microblaze PARAMETER INSTANCE = microblaze_0 PARAMETER HW_VER = 8.10.a PARAMETER C_INTERCONNECT = 2 PARAMETER C_USE_BARREL = 1 PARAMETER C_USE_FPU = 1 PARAMETER C_DEBUG_ENABLED = 1 PARAMETER C_ICACHE_BASEADDR = 0xc0000000 PARAMETER C_ICACHE_HIGHADDR = 0xc7ffffff PARAMETER C_USE_ICACHE = 1 PARAMETER C_ICACHE_ALWAYS_USED = 1 PARAMETER C_DCACHE_BASEADDR = 0xc0000000 PARAMETER C_DCACHE_HIGHADDR = 0xc7ffffff PARAMETER C_USE_DCACHE = 1 PARAMETER C_DCACHE_ALWAYS_USED = 1 PARAMETER C_INTERCONNECT_M_AXI_DC_AW_REGISTER = 1 PARAMETER C_INTERCONNECT_M_AXI_DC_W_REGISTER = 1 PARAMETER C_INTERCONNECT_M_AXI_DP_AW_REGISTER = 1 PARAMETER C_INTERCONNECT_M_AXI_DP_AR_REGISTER = 1 PARAMETER C_INTERCONNECT_M_AXI_DP_W_REGISTER = 1 PARAMETER C_INTERCONNECT_M_AXI_DP_R_REGISTER = 1 PARAMETER C_INTERCONNECT_M_AXI_DP_B_REGISTER = 1 PARAMETER C_INTERCONNECT_M_AXI_DC_AR_REGISTER = 1 PARAMETER C_INTERCONNECT_M_AXI_DC_R_REGISTER = 1 PARAMETER C_INTERCONNECT_M_AXI_DC_B_REGISTER = 1 PARAMETER C_INTERCONNECT_M_AXI_IC_AW_REGISTER = 1 PARAMETER C_INTERCONNECT_M_AXI_IC_AR_REGISTER = 1 PARAMETER C_INTERCONNECT_M_AXI_IC_W_REGISTER = 1 PARAMETER C_INTERCONNECT_M_AXI_IC_R_REGISTER = 1 PARAMETER C_INTERCONNECT_M_AXI_IC_B_REGISTER = 1 PARAMETER C_NUMBER_OF_PC_BRK = 7 PARAMETER C_NUMBER_OF_WR_ADDR_BRK = 2 PARAMETER C_NUMBER_OF_RD_ADDR_BRK = 2 PARAMETER C_CACHE_BYTE_SIZE = 16384 PARAMETER C_DCACHE_BYTE_SIZE = 16384 PARAMETER C_FPU_EXCEPTION = 1 PARAMETER C_DIV_ZERO_EXCEPTION = 1 PARAMETER C_M_AXI_I_BUS_EXCEPTION = 1 PARAMETER C_M_AXI_D_BUS_EXCEPTION = 1 PARAMETER C_ILL_OPCODE_EXCEPTION = 1 PARAMETER C_OPCODE_0x0_ILLEGAL = 1 PARAMETER C_UNALIGNED_EXCEPTIONS = 1 PARAMETER C_USE_DIV = 1 BUS_INTERFACE M_AXI_DP = axi4lite_0 BUS_INTERFACE M_AXI_DC = axi4_0 BUS_INTERFACE M_AXI_IC = axi4_0 BUS_INTERFACE DEBUG = microblaze_0_debug BUS_INTERFACE DLMB = microblaze_0_dlmb BUS_INTERFACE ILMB = microblaze_0_ilmb PORT MB_RESET = proc_sys_reset_0_MB_Reset PORT CLK = clk_100_0000MHzPLL0 PORT INTERRUPT = microblaze_0_interrupt END BEGIN lmb_v10 PARAMETER INSTANCE = microblaze_0_ilmb PARAMETER HW_VER = 2.00.a PORT SYS_RST = proc_sys_reset_0_BUS_STRUCT_RESET PORT LMB_CLK = clk_100_0000MHzPLL0 END BEGIN lmb_v10 PARAMETER INSTANCE = microblaze_0_dlmb PARAMETER HW_VER = 2.00.a PORT SYS_RST = proc_sys_reset_0_BUS_STRUCT_RESET PORT LMB_CLK = clk_100_0000MHzPLL0 END BEGIN lmb_bram_if_cntlr PARAMETER INSTANCE = microblaze_0_i_bram_ctrl PARAMETER HW_VER = 3.00.a PARAMETER C_BASEADDR = 0x00000000 PARAMETER C_HIGHADDR = 0x00001fff BUS_INTERFACE SLMB = microblaze_0_ilmb BUS_INTERFACE BRAM_PORT = microblaze_0_i_bram_ctrl_2_microblaze_0_bram_block END BEGIN lmb_bram_if_cntlr PARAMETER INSTANCE = microblaze_0_d_bram_ctrl PARAMETER HW_VER = 3.00.a PARAMETER C_BASEADDR = 0x00000000 PARAMETER C_HIGHADDR = 0x00001fff BUS_INTERFACE SLMB = microblaze_0_dlmb BUS_INTERFACE BRAM_PORT = microblaze_0_d_bram_ctrl_2_microblaze_0_bram_block END BEGIN bram_block PARAMETER INSTANCE = microblaze_0_bram_block PARAMETER HW_VER = 1.00.a BUS_INTERFACE PORTA = microblaze_0_i_bram_ctrl_2_microblaze_0_bram_block BUS_INTERFACE PORTB = microblaze_0_d_bram_ctrl_2_microblaze_0_bram_block END BEGIN proc_sys_reset PARAMETER INSTANCE = proc_sys_reset_0 PARAMETER HW_VER = 3.00.a PARAMETER C_EXT_RESET_HIGH = 1 PORT Ext_Reset_In = RESET PORT MB_Reset = proc_sys_reset_0_MB_Reset PORT Slowest_sync_clk = clk_50_0000MHzPLL0 PORT Interconnect_aresetn = proc_sys_reset_0_Interconnect_aresetn PORT Dcm_locked = proc_sys_reset_0_Dcm_locked PORT MB_Debug_Sys_Rst = proc_sys_reset_0_MB_Debug_Sys_Rst PORT BUS_STRUCT_RESET = proc_sys_reset_0_BUS_STRUCT_RESET END BEGIN clock_generator PARAMETER INSTANCE = clock_generator_0 PARAMETER HW_VER = 4.01.a PARAMETER C_CLKIN_FREQ = 200000000 PARAMETER C_CLKOUT0_FREQ = 600000000 PARAMETER C_CLKOUT0_GROUP = PLL0 PARAMETER C_CLKOUT0_BUF = FALSE PARAMETER C_CLKOUT1_FREQ = 600000000 PARAMETER C_CLKOUT1_PHASE = 180 PARAMETER C_CLKOUT1_GROUP = PLL0 PARAMETER C_CLKOUT1_BUF = FALSE PARAMETER C_CLKOUT2_FREQ = 100000000 PARAMETER C_CLKOUT2_GROUP = PLL0 PARAMETER C_CLKOUT3_FREQ = 50000000 PARAMETER C_CLKOUT3_GROUP = PLL0 PORT RST = RESET PORT CLKIN = CLK PORT CLKOUT2 = clk_100_0000MHzPLL0 PORT CLKOUT3 = clk_50_0000MHzPLL0 PORT CLKOUT0 = clk_600_0000MHzPLL0_nobuf PORT CLKOUT1 = clk_600_0000MHz180PLL0_nobuf PORT LOCKED = proc_sys_reset_0_Dcm_locked END BEGIN mdm PARAMETER INSTANCE = debug_module PARAMETER HW_VER = 2.00.b PARAMETER C_INTERCONNECT = 2 PARAMETER C_USE_UART = 1 PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1 PARAMETER C_BASEADDR = 0x74800000 PARAMETER C_HIGHADDR = 0x7480ffff BUS_INTERFACE S_AXI = axi4lite_0 BUS_INTERFACE MBDEBUG_0 = microblaze_0_debug PORT S_AXI_ACLK = clk_50_0000MHzPLL0 PORT Debug_SYS_Rst = proc_sys_reset_0_MB_Debug_Sys_Rst END BEGIN axi_uartlite PARAMETER INSTANCE = RS232_Uart_1 PARAMETER HW_VER = 1.01.a PARAMETER C_BAUDRATE = 115200 PARAMETER C_DATA_BITS = 8 PARAMETER C_USE_PARITY = 0 PARAMETER C_ODD_PARITY = 1 PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1 PARAMETER C_BASEADDR = 0x40600000 PARAMETER C_HIGHADDR = 0x4060ffff BUS_INTERFACE S_AXI = axi4lite_0 PORT TX = RS232_Uart_1_sout PORT RX = RS232_Uart_1_sin PORT S_AXI_ACLK = clk_50_0000MHzPLL0 PORT Interrupt = RS232_Uart_1_Interrupt END BEGIN axi_gpio PARAMETER INSTANCE = LEDs_4Bits PARAMETER HW_VER = 1.01.a PARAMETER C_GPIO_WIDTH = 4 PARAMETER C_ALL_INPUTS = 0 PARAMETER C_INTERRUPT_PRESENT = 0 PARAMETER C_IS_DUAL = 0 PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1 PARAMETER C_BASEADDR = 0x40020000 PARAMETER C_HIGHADDR = 0x4002ffff BUS_INTERFACE S_AXI = axi4lite_0 PORT GPIO_IO_O = LEDs_4Bits_TRI_O PORT S_AXI_ACLK = clk_50_0000MHzPLL0 END BEGIN axi_gpio PARAMETER INSTANCE = Push_Buttons_4Bits PARAMETER HW_VER = 1.01.a PARAMETER C_GPIO_WIDTH = 4 PARAMETER C_ALL_INPUTS = 1 PARAMETER C_INTERRUPT_PRESENT = 1 PARAMETER C_IS_DUAL = 0 PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1 PARAMETER C_BASEADDR = 0x40000000 PARAMETER C_HIGHADDR = 0x4000ffff BUS_INTERFACE S_AXI = axi4lite_0 PORT GPIO_IO_I = Push_Buttons_4Bits_TRI_I PORT S_AXI_ACLK = clk_50_0000MHzPLL0 PORT IP2INTC_Irpt = Push_Buttons_4Bits_IP2INTC_Irpt END BEGIN axi_s6_ddrx PARAMETER INSTANCE = MCB_DDR3 PARAMETER HW_VER = 1.02.a PARAMETER C_MCB_RZQ_LOC = K7 PARAMETER C_MCB_ZIO_LOC = R7 PARAMETER C_MEM_TYPE = DDR3 PARAMETER C_MEM_PARTNO = MT41J64M16XX-187E PARAMETER C_MEM_BANKADDR_WIDTH = 3 PARAMETER C_MEM_NUM_COL_BITS = 10 PARAMETER C_SKIP_IN_TERM_CAL = 0 PARAMETER C_S0_AXI_ENABLE = 1 PARAMETER C_INTERCONNECT_S0_AXI_MASTERS = microblaze_0.M_AXI_DC & microblaze_0.M_AXI_IC PARAMETER C_INTERCONNECT_S0_AXI_AW_REGISTER = 1 PARAMETER C_INTERCONNECT_S0_AXI_AR_REGISTER = 1 PARAMETER C_INTERCONNECT_S0_AXI_W_REGISTER = 1 PARAMETER C_INTERCONNECT_S0_AXI_R_REGISTER = 1 PARAMETER C_INTERCONNECT_S0_AXI_B_REGISTER = 1 PARAMETER C_S0_AXI_BASEADDR = 0xc0000000 PARAMETER C_S0_AXI_HIGHADDR = 0xc7ffffff BUS_INTERFACE S0_AXI = axi4_0 PORT mcbx_dram_clk = mcbx_dram_clk PORT mcbx_dram_clk_n = mcbx_dram_clk_n PORT mcbx_dram_cke = mcbx_dram_cke PORT mcbx_dram_odt = mcbx_dram_odt PORT mcbx_dram_ras_n = mcbx_dram_ras_n PORT mcbx_dram_cas_n = mcbx_dram_cas_n PORT mcbx_dram_we_n = mcbx_dram_we_n PORT mcbx_dram_udm = mcbx_dram_udm PORT mcbx_dram_ldm = mcbx_dram_ldm PORT mcbx_dram_ba = mcbx_dram_ba PORT mcbx_dram_addr = mcbx_dram_addr PORT mcbx_dram_ddr3_rst = mcbx_dram_ddr3_rst PORT mcbx_dram_dq = mcbx_dram_dq PORT mcbx_dram_dqs = mcbx_dram_dqs PORT mcbx_dram_dqs_n = mcbx_dram_dqs_n PORT mcbx_dram_udqs = mcbx_dram_udqs PORT mcbx_dram_udqs_n = mcbx_dram_udqs_n PORT rzq = rzq PORT zio = zio PORT s0_axi_aclk = clk_100_0000MHzPLL0 PORT ui_clk = clk_100_0000MHzPLL0 PORT sysclk_2x = clk_600_0000MHzPLL0_nobuf PORT sysclk_2x_180 = clk_600_0000MHz180PLL0_nobuf PORT SYS_RST = proc_sys_reset_0_BUS_STRUCT_RESET PORT PLL_LOCK = proc_sys_reset_0_Dcm_locked END BEGIN axi_ethernetlite PARAMETER INSTANCE = Ethernet_Lite PARAMETER HW_VER = 1.00.a PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1 PARAMETER C_BASEADDR = 0x40e00000 PARAMETER C_HIGHADDR = 0x40e0ffff PARAMETER C_S_AXI_PROTOCOL = AXI4LITE PARAMETER C_RX_PING_PONG = 1 PARAMETER C_TX_PING_PONG = 1 PARAMETER C_S_AXI_ID_WIDTH = 1 BUS_INTERFACE S_AXI = axi4lite_0 PORT PHY_MDIO = Ethernet_Lite_MDIO PORT PHY_MDC = Ethernet_Lite_MDC PORT PHY_tx_data = Ethernet_Lite_TXD PORT PHY_tx_en = Ethernet_Lite_TX_EN PORT PHY_tx_clk = Ethernet_Lite_TX_CLK PORT PHY_col = Ethernet_Lite_COL PORT PHY_rx_data = Ethernet_Lite_RXD PORT PHY_rx_er = Ethernet_Lite_RX_ER PORT PHY_rx_clk = Ethernet_Lite_RX_CLK PORT PHY_crs = Ethernet_Lite_CRS PORT PHY_dv = Ethernet_Lite_RX_DV PORT PHY_rst_n = Ethernet_Lite_PHY_RST_N PORT S_AXI_ACLK = clk_50_0000MHzPLL0 PORT IP2INTC_Irpt = Ethernet_Lite_IP2INTC_Irpt END BEGIN axi_timer PARAMETER INSTANCE = axi_timer_0 PARAMETER HW_VER = 1.01.a PARAMETER C_COUNT_WIDTH = 32 PARAMETER C_ONE_TIMER_ONLY = 0 PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1 PARAMETER C_BASEADDR = 0x41c00000 PARAMETER C_HIGHADDR = 0x41c0ffff BUS_INTERFACE S_AXI = axi4lite_0 PORT S_AXI_ACLK = clk_50_0000MHzPLL0 PORT Interrupt = axi_timer_0_Interrupt END BEGIN axi_intc PARAMETER INSTANCE = microblaze_0_intc PARAMETER HW_VER = 1.01.a PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1 PARAMETER C_BASEADDR = 0x41200000 PARAMETER C_HIGHADDR = 0x4120ffff BUS_INTERFACE S_AXI = axi4lite_0 PORT IRQ = microblaze_0_interrupt PORT S_AXI_ACLK = clk_50_0000MHzPLL0 PORT INTR = Push_Buttons_4Bits_IP2INTC_Irpt & Ethernet_Lite_IP2INTC_Irpt & axi_timer_0_Interrupt & RS232_Uart_1_Interrupt END