diff --git a/Demo/PPC405_Xilinx_Virtex4_GCC/system.log b/Demo/PPC405_Xilinx_Virtex4_GCC/system.log deleted file mode 100644 index 63d3f09d3..000000000 --- a/Demo/PPC405_Xilinx_Virtex4_GCC/system.log +++ /dev/null @@ -1,4909 +0,0 @@ -Xilinx Platform Studio (XPS) -Xilinx EDK 8.2.02 Build EDK_Im_Sp2.4 - -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - -Copied C:/devtools/XilinxEDK/data/xflow/bitgen.ut to etc directory - -At Local date and time: Tue Mar 04 08:52:42 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make clean; exit;" started... - -rm -f implementation/system.ngc - - -rm -f implementation/system.bmm -rm -f implementation/system.bit - - -rm -f implementation/system.ncd -rm -f implementation/system_bd.bmm -rm -rf implementation synthesis xst hdl - - -rm -rf xst.srp system.srp -rm -rf ppc405_0/lib/ -rm -f RTOSDemo/executable.elf - - -rm -rf simulation/behavioral -rm -rf virtualplatform -rm -f _impact.cmd - - - - -Done! - -Xilinx Platform Studio (XPS) -Xilinx EDK 8.2.02 Build EDK_Im_Sp2.4 - -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - -At Local date and time: Tue Mar 04 08:56:51 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make download; exit;" started... - -**************************************************** - - -Creating system netlist for hardware specification.. -**************************************************** -platgen -p xc4vfx12ff668-10 -lang vhdl system.mhs - - - -Release Xilinx EDK 8.2.02 - platgen EDK_Im_Sp2.4 -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - - - - -Command Line: platgen -p xc4vfx12ff668-10 -lang vhdl system.mhs - -Parse system.mhs ... - -Read MPD definitions ... - - -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/ppc405_virtex4_v1_01_a/data -/ppc405_virtex4_v2_1_0.tcl ... - - -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/jtagppc_cntlr_v2_00_a/data/ -jtagppc_cntlr_v2_1_0.tcl ... -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/plb_v34_v1_02_a/data/plb_v3 -4_v2_1_0.tcl ... -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/plb2opb_bridge_v1_01_a/data -/plb2opb_bridge_v2_1_0.tcl ... - - -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/plb_emc_v2_00_a/data/plb_em -c_v2_1_0.tcl ... -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/plb_bram_if_cntlr_v1_00_b/d -ata/plb_bram_if_cntlr_v2_1_0.tcl ... -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/opb_intc_v1_00_c/data/opb_i -ntc_v2_1_0.tcl ... - - -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm -_module_v2_1_0.tcl ... - -Overriding IP level properties ... -jtagppc_cntlr (jtagppc_0) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\jtagppc_cntlr_v2_00_a\data\ -jtagppc_cntlr_v2_1_0.mpd line 36 - tool overriding c_device value X2VP4 to -4vfx12 -plb2opb_bridge (plb2opb) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\plb2opb_bridge_v1_01_a\data -\plb2opb_bridge_v2_1_0.mpd line 39 - tool overriding c_family value virtex2p to -virtex4 -opb_gpio (leds_4bit) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_g -pio_v2_1_0.mpd line 42 - tool overriding c_family value virtex2 to virtex4 -opb_gpio (leds_positions) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_g -pio_v2_1_0.mpd line 42 - tool overriding c_family value virtex2 to virtex4 -bram_block (plb_bram_if_cntlr_1_bram) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bra -m_block_v2_1_0.mpd line 43 - tool overriding c_family value virtex2 to virtex4 -opb_intc (opb_intc_0) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\opb_intc_v1_00_c\data\opb_i -ntc_v2_1_0.mpd line 38 - tool overriding c_family value virtex2 to virtex4 -dcm_module (dcm_0) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm -_module_v2_1_0.mpd line 61 - tool overriding c_family value virtex2 to virtex4 - -Performing IP level DRCs on properties... - -Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC... - - -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/emc_common_v2_00_a/data/emc -_common_v2_1_0.tcl ... -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/ -bram_if_cntlr_v2_1_0.tcl ... - - -Address Map for Processor ppc405_0 - (0x00000000-0x000fffff) SRAM_256Kx32 plb - (0x40000000-0x4000ffff) LEDs_4Bit plb->plb2opb->opb - (0x40020000-0x4002ffff) LEDs_Positions plb->plb2opb->opb - (0x40600000-0x4060ffff) RS232_Uart plb->plb2opb->opb - (0x41200000-0x4120ffff) opb_intc_0 plb->plb2opb->opb - (0xfffff000-0xffffffff) plb_bram_if_cntlr_1 plb - -Check platform address map ... - -Overriding system level properties ... -plb_v34 (plb) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\plb_v34_v1_02_a\data\plb_v3 -4_v2_1_0.mpd line 42 - tool overriding c_plb_num_masters value 4 to 2 -plb_v34 (plb) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\plb_v34_v1_02_a\data\plb_v3 -4_v2_1_0.mpd line 43 - tool overriding c_plb_num_slaves value 4 to 3 -plb_v34 (plb) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\plb_v34_v1_02_a\data\plb_v3 -4_v2_1_0.mpd line 44 - tool overriding c_plb_mid_width value 2 to 1 -opb_v20 (opb) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v2 -0_v2_1_0.mpd line 40 - tool overriding c_num_masters value 4 to 1 -plb2opb_bridge (plb2opb) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\plb2opb_bridge_v1_01_a\data -\plb2opb_bridge_v2_1_0.mpd line 51 - tool overriding c_plb_num_masters value 4 -to 2 -plb2opb_bridge (plb2opb) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\plb2opb_bridge_v1_01_a\data -\plb2opb_bridge_v2_1_0.mpd line 52 - tool overriding c_plb_mid_width value 4 to -1 -plb_emc (sram_256kx32) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\plb_emc_v2_00_a\data\plb_em -c_v2_1_0.mpd line 39 - tool overriding c_num_masters value 8 to 2 -plb_emc (sram_256kx32) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\plb_emc_v2_00_a\data\plb_em -c_v2_1_0.mpd line 97 - tool overriding c_plb_mid_width value 3 to 1 -plb_bram_if_cntlr (plb_bram_if_cntlr_1) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\plb_bram_if_cntlr_v1_00_b\d -ata\plb_bram_if_cntlr_v2_1_0.mpd line 43 - tool overriding c_num_masters value 8 -to 2 -plb_bram_if_cntlr (plb_bram_if_cntlr_1) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\plb_bram_if_cntlr_v1_00_b\d -ata\plb_bram_if_cntlr_v2_1_0.mpd line 50 - tool overriding c_plb_mid_width value -3 to 1 -bram_block (plb_bram_if_cntlr_1_bram) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bra -m_block_v2_1_0.mpd line 39 - tool overriding c_memsize value 2048 to 0x1000 -bram_block (plb_bram_if_cntlr_1_bram) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bra -m_block_v2_1_0.mpd line 40 - tool overriding c_port_dwidth value 32 to 64 -bram_block (plb_bram_if_cntlr_1_bram) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bra -m_block_v2_1_0.mpd line 42 - tool overriding c_num_we value 4 to 8 -opb_intc (opb_intc_0) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\opb_intc_v1_00_c\data\opb_i -ntc_v2_1_0.mpd line 46 - tool overriding c_num_intr_inputs value 2 to 1 -opb_intc (opb_intc_0) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\opb_intc_v1_00_c\data\opb_i -ntc_v2_1_0.mpd line 47 - tool overriding c_kind_of_intr value -0b11111111111111111111111111111111 to 0b00000000000000000000000000000001 -opb_intc (opb_intc_0) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\opb_intc_v1_00_c\data\opb_i -ntc_v2_1_0.mpd line 48 - tool overriding c_kind_of_edge value -0b11111111111111111111111111111111 to 0b00000000000000000000000000000001 -opb_intc (opb_intc_0) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\opb_intc_v1_00_c\data\opb_i -ntc_v2_1_0.mpd line 49 - tool overriding c_kind_of_lvl value -0b11111111111111111111111111111111 to 0b00000000000000000000000000000000 - -Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC... -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/intc_core_v1_00_c/data/intc -_core_v2_1_0.tcl ... - - - -Performing System level DRCs on properties... - -Check platform configuration ... -plb_v34 (plb) - -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\system.mhs line 85 -- 2 master(s) : 3 slave(s) -opb_v20 (opb) - -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\system.mhs line 94 -- 1 master(s) : 4 slave(s) - -Check port drivers... -Performing Clock DRCs... - -Running UPDATE Tcl procedures for OPTION PLATGEN_SYSLEVEL_UPDATE_PROC... - -Modify defaults ... - -Creating stub ... - - - -Processing licensed instances ... -Completion time: 0.00 seconds - -Creating hardware output directories ... - - - -Managing hardware (BBD-specified) netlist files ... - -Managing cache ... - -Elaborating instances ... -bram_block (plb_bram_if_cntlr_1_bram) - -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\system.mhs line -195 - elaborating IP - -Writing HDL for elaborated instances ... - - - -Inserting wrapper level ... - - -Completion time: 1.00 seconds - -Constructing platform-level connectivity ... - - -Completion time: 0.00 seconds - -Writing (top-level) BMM ... - -Writing (top-level and wrappers) HDL ... - - - -Generating synthesis project file ... - - - -Running XST synthesis ... -INFO:MDT - The following instances are synthesized with XST. The MPD option - IMP_NETLIST=TRUE indicates that a NGC file is to be produced using XST - synthesis. IMP_NETLIST=FALSE (default) instances are not synthesized. -ppc405_0_wrapper (ppc405_0) - -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\system.mhs line 46 -- Running XST synthesis - - -WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 35 - days, this program will not operate. For more information about this product, - please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local - Field Applications Engineer (FAE) or salesperson. If you have any questions, - or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! - - -jtagppc_0_wrapper (jtagppc_0) - -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\system.mhs line 63 -- Running XST synthesis - - -WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 35 - days, this program will not operate. For more information about this product, - please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local - Field Applications Engineer (FAE) or salesperson. If you have any questions, - or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! - - -reset_block_wrapper (reset_block) - -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\system.mhs line 69 -- Running XST synthesis - - -WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 35 - days, this program will not operate. For more information about this product, - please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local - Field Applications Engineer (FAE) or salesperson. If you have any questions, - or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! - - -plb_wrapper (plb) - -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\system.mhs line 85 -- Running XST synthesis - - -WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 35 - days, this program will not operate. For more information about this product, - please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local - Field Applications Engineer (FAE) or salesperson. If you have any questions, - or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! - - -opb_wrapper (opb) - -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\system.mhs line 94 -- Running XST synthesis - - -WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 35 - days, this program will not operate. For more information about this product, - please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local - Field Applications Engineer (FAE) or salesperson. If you have any questions, - or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! - - -plb2opb_wrapper (plb2opb) - -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\system.mhs line -102 - Running XST synthesis - - -WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 35 - days, this program will not operate. For more information about this product, - please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local - Field Applications Engineer (FAE) or salesperson. If you have any questions, - or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! - - -rs232_uart_wrapper (rs232_uart) - -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\system.mhs line -113 - Running XST synthesis - - -WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 35 - days, this program will not operate. For more information about this product, - please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local - Field Applications Engineer (FAE) or salesperson. If you have any questions, - or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! - - -leds_4bit_wrapper (leds_4bit) - -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\system.mhs line -129 - Running XST synthesis - - -WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 35 - days, this program will not operate. For more information about this product, - please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local - Field Applications Engineer (FAE) or salesperson. If you have any questions, - or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! - - -leds_positions_wrapper (leds_positions) - -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\system.mhs line -142 - Running XST synthesis - - -WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 35 - days, this program will not operate. For more information about this product, - please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local - Field Applications Engineer (FAE) or salesperson. If you have any questions, - or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! - - -sram_256kx32_wrapper (sram_256kx32) - -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\system.mhs line -155 - Running XST synthesis - - -WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 35 - days, this program will not operate. For more information about this product, - please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local - Field Applications Engineer (FAE) or salesperson. If you have any questions, - or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! - - -plb_bram_if_cntlr_1_wrapper (plb_bram_if_cntlr_1) - -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\system.mhs line -184 - Running XST synthesis - - -WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 35 - days, this program will not operate. For more information about this product, - please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local - Field Applications Engineer (FAE) or salesperson. If you have any questions, - or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! - - -plb_bram_if_cntlr_1_bram_wrapper (plb_bram_if_cntlr_1_bram) - -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\system.mhs line -195 - Running XST synthesis - - -WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 35 - days, this program will not operate. For more information about this product, - please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local - Field Applications Engineer (FAE) or salesperson. If you have any questions, - or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! - - -opb_intc_0_wrapper (opb_intc_0) - -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\system.mhs line -201 - Running XST synthesis - - -WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 35 - days, this program will not operate. For more information about this product, - please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local - Field Applications Engineer (FAE) or salesperson. If you have any questions, - or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! - - -sram_256kx32_util_bus_split_0_wrapper (sram_256kx32_util_bus_split_0) - -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\system.mhs line -211 - Running XST synthesis - - -WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 35 - days, this program will not operate. For more information about this product, - please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local - Field Applications Engineer (FAE) or salesperson. If you have any questions, - or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! - - -dcm_0_wrapper (dcm_0) - -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\system.mhs line -221 - Running XST synthesis - - -WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 35 - days, this program will not operate. For more information about this product, - please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local - Field Applications Engineer (FAE) or salesperson. If you have any questions, - or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! - - - -Running NGCBUILD ... - -Rebuilding cache ... - - -Total run time: 429.00 seconds - - -Running synthesis... -bash -c "cd synthesis; ./synthesis.sh" - - -./synthesis.sh: line 2: $'\r': command not found - - -./synthesis.sh: line 4: $'\r': command not found - - -Release 8.2.02i - xst I.34 -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - - -WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 35 - days, this program will not operate. For more information about this product, - please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local - Field Applications Engineer (FAE) or salesperson. If you have any questions, - or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! -ERROR:Xst:427 - Entry File system_xst.scr not found -./synthesis.sh: line 11: syntax error: unexpected end of file -make: *** [implementation/system.ngc] Error 2 - - - - -Done! - -At Local date and time: Tue Mar 04 09:04:50 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make download; exit;" started... - -Running synthesis... -bash -c "cd synthesis; ./synthesis.sh" - - -Release 8.2.02i - xst I.34 -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. ---> - -WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 35 - days, this program will not operate. For more information about this product, - please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local - Field Applications Engineer (FAE) or salesperson. If you have any questions, - or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! - - - -TABLE OF CONTENTS - 1) Synthesis Options Summary - 2) HDL Compilation - 3) Design Hierarchy Analysis - 4) HDL Analysis - 5) HDL Synthesis - 5.1) HDL Synthesis Report - 6) Advanced HDL Synthesis - 6.1) Advanced HDL Synthesis Report - 7) Low Level Synthesis - 8) Partition Report - 9) Final Report - 9.1) Device utilization summary - 9.2) TIMING REPORT - - -========================================================================= -* Synthesis Options Summary * -========================================================================= ----- Source Parameters -Input Format : MIXED -Input File Name : "system_xst.prj" - ----- Target Parameters -Target Device : xc4vfx12ff668-10 -Output File Name : "../implementation/system.ngc" - ----- Source Options -Top Module Name : system - ----- Target Options -Add IO Buffers : YES -Global Maximum Fanout : 10000 - ----- General Options -Optimization Goal : speed -Optimization Effort : 1 -Hierarchy Separator : / - ----- Other Options -Cores Search Directories : {../implementation} - -========================================================================= - - -========================================================================= -* HDL Compilation * -========================================================================= - - -Compiling vhdl file "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" in Library work. - - -Entity compiled. - - -Entity (Architecture ) compiled. - - - -========================================================================= -* Design Hierarchy Analysis * -========================================================================= -Analyzing hierarchy for entity in library (architecture ). - -Building hierarchy successfully finished. - -========================================================================= -* HDL Analysis * -========================================================================= -Analyzing Entity in library (Architecture ). -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1716: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1724: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1732: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1740: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1748: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1756: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1764: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1772: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1780: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1788: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1796: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1804: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1812: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1820: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1828: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1836: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1844: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1852: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1860: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1868: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1876: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1884: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1892: Instantiating black box module . - - -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1900: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1908: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1916: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1924: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1932: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1940: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1948: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1956: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1964: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1972: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1980: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1988: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 1996: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 2004: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 2012: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 2020: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 2028: Instantiating black box module . -WARNING:Xst:2211 - "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd" line 2036: Instantiating black box module . - - -Entity analyzed. Unit generated. - - -========================================================================= -* HDL Synthesis * -========================================================================= - -Performing bidirectional port resolution... - - - -Synthesizing Unit . - Related source file is "C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/hdl/system.vhd". -Unit synthesized. - - -========================================================================= -HDL Synthesis Report - -Found no macro -========================================================================= - -========================================================================= -* Advanced HDL Synthesis * -========================================================================= - - - -Loading device for application Rf_Device from file '4vfx12.nph' in environment c:\devtools\Xilinx. - - -Reading core <../implementation/ppc405_0_wrapper.ngc>. - - -Reading core <../implementation/jtagppc_0_wrapper.ngc>. - - -Reading core <../implementation/reset_block_wrapper.ngc>. - - -Reading core <../implementation/plb_wrapper.ngc>. - - -Reading core <../implementation/opb_wrapper.ngc>. - - -Reading core <../implementation/plb2opb_wrapper.ngc>. - - -Reading core <../implementation/rs232_uart_wrapper.ngc>. - - -Reading core <../implementation/leds_4bit_wrapper.ngc>. - - -Reading core <../implementation/leds_positions_wrapper.ngc>. - - -Reading core <../implementation/sram_256kx32_wrapper.ngc>. - - -Reading core <../implementation/plb_bram_if_cntlr_1_wrapper.ngc>. - - -Reading core <../implementation/plb_bram_if_cntlr_1_bram_wrapper.ngc>. - - -Reading core <../implementation/opb_intc_0_wrapper.ngc>. - - -Reading core <../implementation/sram_256kx32_util_bus_split_0_wrapper.ngc>. - - -Reading core <../implementation/dcm_0_wrapper.ngc>. - - -Loading core for timing and area information for instance . -Loading core for timing and area information for instance . -Loading core for timing and area information for instance . - - -Loading core for timing and area information for instance . -Loading core for timing and area information for instance . -Loading core for timing and area information for instance . -Loading core for timing and area information for instance . -Loading core for timing and area information for instance . -Loading core for timing and area information for instance . -Loading core for timing and area information for instance . -Loading core for timing and area information for instance . -Loading core for timing and area information for instance . -Loading core for timing and area information for instance . -Loading core for timing and area information for instance . -Loading core for timing and area information for instance . - - - -========================================================================= -Advanced HDL Synthesis Report - -Found no macro -========================================================================= - -========================================================================= -* Low Level Synthesis * -========================================================================= - - -WARNING:Xst:1902 - Value 0 for attribute SAVEDATA of instance ramb16_0 in unit plb_bram_if_cntlr_1_bram is not supported - - -WARNING:Xst:1902 - Value 0 for attribute INVERT_CLK_DOA_REG of instance ramb16_0 in unit plb_bram_if_cntlr_1_bram is not supported -WARNING:Xst:1902 - Value 0 for attribute INVERT_CLK_DOB_REG of instance ramb16_0 in unit plb_bram_if_cntlr_1_bram is not supported -WARNING:Xst:1902 - Value 0 for attribute SAVEDATA of instance ramb16_1 in unit plb_bram_if_cntlr_1_bram is not supported -WARNING:Xst:1902 - Value 0 for attribute INVERT_CLK_DOA_REG of instance ramb16_1 in unit plb_bram_if_cntlr_1_bram is not supported -WARNING:Xst:1902 - Value 0 for attribute INVERT_CLK_DOB_REG of instance ramb16_1 in unit plb_bram_if_cntlr_1_bram is not supported -WARNING:Xst:1902 - Value 0 for attribute CLKIN_DIVIDE_BY_2 of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 1 for attribute DUTY_CYCLE_CORRECTION of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute PMCD_SYNC of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute STARTUP_WAIT of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DCM_EXT_FB_EN of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 1 for attribute DCM_UNUSED_TAPS_POWERDOWN of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 1 for attribute DCM_VREG_ENABLE of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 1 for attribute DCM_CLKDV_CLKFX_ALIGNMENT of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DCM_LOCK_HIGH of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DFS_EARLY_LOCK of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DFS_EXTEND_HALT_TIME of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DFS_EXTEND_RUN_TIME of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DFS_EXTEND_FLUSH_TIME of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DFS_NON_STOP of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DFS_SKIP_FINE of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 1 for attribute DFS_EN_RELRST of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DLL_DESKEW_LOCK_BY1 of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DLL_PERIOD_LOCK_BY1 of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 1 for attribute DLL_PHASE_DETECTOR_AUTO_RESET of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DLL_PHASE_SHIFT_LOCK_BY1 of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DLL_CTL_SEL_CLKIN_DIV2 of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported - - -WARNING:Xst:1902 - Value 0 for attribute SAVEDATA of instance ramb16_0 in unit plb_bram_if_cntlr_1_bram is not supported -WARNING:Xst:1902 - Value 0 for attribute INVERT_CLK_DOA_REG of instance ramb16_0 in unit plb_bram_if_cntlr_1_bram is not supported -WARNING:Xst:1902 - Value 0 for attribute INVERT_CLK_DOB_REG of instance ramb16_0 in unit plb_bram_if_cntlr_1_bram is not supported -WARNING:Xst:1902 - Value 0 for attribute SAVEDATA of instance ramb16_1 in unit plb_bram_if_cntlr_1_bram is not supported -WARNING:Xst:1902 - Value 0 for attribute INVERT_CLK_DOA_REG of instance ramb16_1 in unit plb_bram_if_cntlr_1_bram is not supported -WARNING:Xst:1902 - Value 0 for attribute INVERT_CLK_DOB_REG of instance ramb16_1 in unit plb_bram_if_cntlr_1_bram is not supported -WARNING:Xst:1902 - Value 0 for attribute CLKIN_DIVIDE_BY_2 of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 1 for attribute DUTY_CYCLE_CORRECTION of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute PMCD_SYNC of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute STARTUP_WAIT of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DCM_EXT_FB_EN of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 1 for attribute DCM_UNUSED_TAPS_POWERDOWN of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 1 for attribute DCM_VREG_ENABLE of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 1 for attribute DCM_CLKDV_CLKFX_ALIGNMENT of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DCM_LOCK_HIGH of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DFS_EARLY_LOCK of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DFS_EXTEND_HALT_TIME of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DFS_EXTEND_RUN_TIME of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DFS_EXTEND_FLUSH_TIME of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DFS_NON_STOP of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DFS_SKIP_FINE of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 1 for attribute DFS_EN_RELRST of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DLL_DESKEW_LOCK_BY1 of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DLL_PERIOD_LOCK_BY1 of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 1 for attribute DLL_PHASE_DETECTOR_AUTO_RESET of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DLL_PHASE_SHIFT_LOCK_BY1 of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported -WARNING:Xst:1902 - Value 0 for attribute DLL_CTL_SEL_CLKIN_DIV2 of instance dcm_0/Using_DCM_ADV.DCM_ADV_INST in unit dcm_0 is not supported - - - -Optimizing unit ... - -Mapping all equations... - - -Building and optimizing final netlist ... - - -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 3 FFs/Latches : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 3 FFs/Latches : - - -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following FF/Latch : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following FF/Latch : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following FF/Latch : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following FF/Latch : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following FF/Latch : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following FF/Latch : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following FF/Latch : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 29 FFs/Latches : - - - -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following FF/Latch : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following FF/Latch : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 3 FFs/Latches : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 3 FFs/Latches : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following FF/Latch : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following FF/Latch : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following FF/Latch : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following FF/Latch : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following FF/Latch : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following FF/Latch : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following FF/Latch : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 29 FFs/Latches : - -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following FF/Latch : -INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following FF/Latch : - - -PACKER Warning: Lut sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/Addr_cntr_load_en1 driving carry sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/I_MUXCY can not be packed with the carry due to conflict with the common signal requirement between the LUT inputs and the Carry DI/MAND pins. This would result in an extra LUT for a feedthrough. -PACKER Warning: Lut sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/Addr_cntr_load_en1 driving carry sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/I_MUXCY can not be packed with the carry due to conflict with the common signal requirement between the LUT inputs and the Carry DI/MAND pins. This would result in an extra LUT for a feedthrough. - - -PACKER Warning: Lut plb_bram_if_cntlr_1/I_PLB_IPIF/I_SLAVE_ATTACHMENT/Addr_cntr_load_en1 driving carry plb_bram_if_cntlr_1/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_SLOW_MODE_BURSTXFER.I_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/I_MUXCY can not be packed with the carry due to conflict with the common signal requirement between the LUT inputs and the Carry DI/MAND pins. This would result in an extra LUT for a feedthrough. - -Final Macro Processing ... - -========================================================================= -Final Register Report - -Found no macro -========================================================================= - -========================================================================= -* Partition Report * -========================================================================= - -Partition Implementation Status -------------------------------- - - No Partitions were found in this design. - -------------------------------- - - - -========================================================================= -* Final Report * -========================================================================= -Final Results -Top Level Output File Name : ../implementation/system.ngc -Output Format : ngc -Optimization Goal : speed -Keep Hierarchy : no - -Design Statistics -# IOs : 75 - -Cell Usage : -# BELS : 2456 -# GND : 15 -# INV : 39 -# LUT1 : 32 -# LUT2 : 218 -# LUT2_D : 12 -# LUT2_L : 10 -# LUT3 : 452 -# LUT3_D : 17 -# LUT3_L : 24 -# LUT4 : 1044 -# LUT4_D : 45 -# LUT4_L : 47 -# MULT_AND : 13 -# MUXCY : 231 -# MUXCY_L : 25 -# MUXF5 : 54 -# MUXF6 : 1 -# VCC : 11 -# XORCY : 166 -# FlipFlops/Latches : 2080 -# FD : 200 -# FD_1 : 5 -# FDC : 25 -# FDCE : 5 -# FDCPE : 4 -# FDE : 12 -# FDP : 14 -# FDPE : 3 -# FDR : 797 -# FDR_1 : 67 -# FDRE : 819 -# FDRS : 20 -# FDRSE : 37 -# FDS : 25 -# FDS_1 : 43 -# FDSE : 4 -# RAMS : 112 -# RAM16X1D : 110 -# RAMB16 : 2 -# Shift Registers : 134 -# SRL16 : 49 -# SRL16E : 85 -# Clock Buffers : 1 -# BUFG : 1 -# IO Buffers : 75 -# IBUF : 2 -# IBUFG : 1 -# IOBUF : 41 -# OBUF : 31 -# DCM_ADVs : 1 -# DCM_ADV : 1 -# Others : 2 -# JTAGPPC : 1 -# PPC405_ADV : 1 -========================================================================= - - -PACKER Warning: Lut sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/Addr_cntr_load_en1 driving carry sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/I_MUXCY can not be packed with the carry due to conflict with the common signal requirement between the LUT inputs and the Carry DI/MAND pins. This would result in an extra LUT for a feedthrough. -PACKER Warning: Lut sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/Addr_cntr_load_en1 driving carry sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/I_MUXCY can not be packed with the carry due to conflict with the common signal requirement between the LUT inputs and the Carry DI/MAND pins. This would result in an extra LUT for a feedthrough. - - -PACKER Warning: Lut plb_bram_if_cntlr_1/I_PLB_IPIF/I_SLAVE_ATTACHMENT/Addr_cntr_load_en1 driving carry plb_bram_if_cntlr_1/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_SLOW_MODE_BURSTXFER.I_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/I_MUXCY can not be packed with the carry due to conflict with the common signal requirement between the LUT inputs and the Carry DI/MAND pins. This would result in an extra LUT for a feedthrough. - -Device utilization summary: ---------------------------- - -Selected Device : 4vfx12ff668-10 - - Number of Slices: 1863 out of 5472 34% - Number of Slice Flip Flops: 2016 out of 10944 18% - Number of 4 input LUTs: 2294 out of 10944 20% - Number used as logic: 1940 - Number used as Shift registers: 134 - Number used as RAMs: 220 - Number of IOs: 75 - Number of bonded IOBs: 75 out of 320 23% - IOB Flip Flops: 64 - Number of FIFO16/RAMB16s: 2 out of 36 5% - Number used as RAMB16s: 2 - Number of GCLKs: 1 out of 32 3% - Number of PPC405s: 1 out of 1 100% - Number of DCM_ADVs: 1 out of 4 25% - - - - -========================================================================= -TIMING REPORT - -NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE. - FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT - GENERATED AFTER PLACE-and-ROUTE. - -Clock Information: ------------------- -----------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------+ -Clock Signal | Clock buffer(FF name) | Load | -----------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------+ -net_vcc0 | NONE(ppc405_0/ppc405_0/PPC405_ADV_i) | 1 | -sys_clk_pin | dcm_0/Using_DCM_ADV.DCM_ADV_INST:CLK0 | 2326 | -jtagppc_0/JTGC405TCK0 | NONE(ppc405_0/ppc405_0/PPC405_ADV_i) | 1 | -net_gnd0 | NONE(plb_bram_if_cntlr_1_bram/plb_bram_if_cntlr_1_bram/ramb16_1) | 2 | -opb_intc_0/opb_intc_0/INTC_CORE_I/ONE_INTR_DET_GEN.INTR_DET_I/interrupts<0>(opb_intc_0/opb_intc_0/INTC_CORE_I/ONE_INTR_DET_GEN.INTR_DET_I/interrupts<0>:O)| NONE(*)(opb_intc_0/opb_intc_0/INTC_CORE_I/ONE_INTR_DET_GEN.INTR_DET_I/DETECT_INTR_I/INTR_DETECT_GEN[0].EDGE_DETECT_GEN.EDGE_DET_BIT_I/KIND_OF_EDGE_I/RISING_EDGE_GEN.RISING_EDGE_DET_I)| 1 | -----------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------+ -(*) This 1 clock signal(s) are generated by combinatorial logic, -and XST is not able to identify which are the primary clock signals. -Please use the CLOCK_SIGNAL constraint to specify the clock signal(s) generated by combinatorial logic. -INFO:Xst:2169 - HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems. - -Asynchronous Control Signals Information: ----------------------------------------- - - --------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------+ -Control Signal | Buffer(FF name) | Load | --------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------+ -net_gnd0(XST_GND:G) | NONE(ppc405_0/ppc405_0/PPC405_ADV_i) | 195 | -ppc405_0/C405PLBICUMSIZE<1>(ppc405_0/XST_VCC:P) | NONE(ppc405_0/ppc405_0/PPC405_ADV_i) | 282 | -ppc405_0/C405PLBICUABUS<31>(ppc405_0/XST_GND:G) | NONE(ppc405_0/ppc405_0/PPC405_ADV_i) | 396 | -net_vcc0(XST_VCC:P) | NONE(ppc405_0/ppc405_0/PPC405_ADV_i) | 12 | -plb_bram_if_cntlr_1_bram/plb_bram_if_cntlr_1_bram/net_gnd0(plb_bram_if_cntlr_1_bram/plb_bram_if_cntlr_1_bram/XST_GND:G) | NONE(plb_bram_if_cntlr_1_bram/plb_bram_if_cntlr_1_bram/ramb16_1) | 8 | -opb/OPB_Rst(opb/opb/POR_FF_I:Q) | NONE(leds_positions/leds_positions/gpio_core_1/gpio_xferAck_Reg) | 44 | -plb2opb/plb2opb/PLBside_reset_OPB_timeout_onRd(plb2opb/plb2opb/I_OPB_timeout_side_Reg_CLR:O) | NONE(plb2opb/plb2opb/I_OPB_timeout_Reg) | 1 | -plb2opb/BGO_dcrDBus<31>(plb2opb/XST_GND:G) | NONE(plb2opb/plb2opb/I_OPB_timeout_Reg) | 4 | -plb2opb/plb2opb/PLB_abort_regd_clear(plb2opb/plb2opb/I_PLB_abort_regd_clear:O) | NONE(plb2opb/plb2opb/I_PLB_abort_Reg) | 1 | -rs232_uart/rs232_uart/OPB_UARTLITE_Core_I/reset_RX_FIFO(rs232_uart/rs232_uart/OPB_UARTLITE_Core_I/reset_RX_FIFO:Q) | NONE(rs232_uart/rs232_uart/OPB_UARTLITE_Core_I/OPB_UARTLITE_RX_I/SRL_FIFO_I/data_Exists_I) | 1 | - - -rs232_uart/rs232_uart/OPB_UARTLITE_Core_I/reset_TX_FIFO(rs232_uart/rs232_uart/OPB_UARTLITE_Core_I/reset_TX_FIFO:Q) | NONE(rs232_uart/rs232_uart/OPB_UARTLITE_Core_I/OPB_UARTLITE_TX_I/SRL_FIFO_I/data_Exists_I) | 1 | -plb2opb/plb2opb/OPBside_reset_Read_inprog_negedge_regd(plb2opb/plb2opb/I_OPBside_reset_Read_inprog_negedge_regd:O) | NONE(plb2opb/plb2opb/I_Read_inprog_negedge_Reg) | 1 | -plb2opb/plb2opb/PLBside_reset_OPB_retry_onRd(plb2opb/plb2opb/I_B_side_Reg_CLR:O) | NONE(plb2opb/plb2opb/I_A_side_Reg) | 1 | -opb_intc_0/opb_intc_0/INTC_CORE_I/ONE_INTR_DET_GEN.INTR_DET_I/clear<0>(opb_intc_0/opb_intc_0/INTC_CORE_I/ONE_INTR_DET_GEN.INTR_DET_I/clear<0>1:O)| NONE(opb_intc_0/opb_intc_0/INTC_CORE_I/ONE_INTR_DET_GEN.INTR_DET_I/DETECT_INTR_I/INTR_DETECT_GEN[0].EDGE_DETECT_GEN.EDGE_DET_BIT_I/KIND_OF_EDGE_I/RISING_EDGE_GEN.RISING_EDGE_DET_I)| 1 | --------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------+ - -Timing Summary: ---------------- -Speed Grade: -10 - - Minimum period: 9.747ns (Maximum Frequency: 102.597MHz) - Minimum input arrival time before clock: 2.764ns - Maximum output required time after clock: 4.869ns - Maximum combinational path delay: No path found - -Timing Detail: --------------- -All values displayed in nanoseconds (ns) - -========================================================================= -Timing constraint: Default period analysis for Clock 'sys_clk_pin' - Clock period: 9.747ns (frequency: 102.597MHz) - Total number of paths / destination ports: 62036 / 6449 -------------------------------------------------------------------------- -Delay: 9.747ns (Levels of Logic = 8) - Source: ppc405_0/ppc405_0/PPC405_ADV_i (CPU) - Destination: plb2opb/plb2opb/PLB_IF_I/wrBTerm_if_PAValid (FF) - Source Clock: sys_clk_pin rising - Destination Clock: sys_clk_pin rising - - Data Path: ppc405_0/ppc405_0/PPC405_ADV_i to plb2opb/plb2opb/PLB_IF_I/wrBTerm_if_PAValid - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - PPC405_ADV:PLBCLK->C405PLBICUSIZE2 1 2.280 0.765 ppc405_0/PPC405_ADV_i (C405PLBICUSIZE<2>) - end scope: 'ppc405_0' - begin scope: 'plb' - LUT4:I0->O 26 0.195 1.325 plb/I_PLB_ADDRPATH/I_PLBSIZE_MUX/_or00021 (PLB_size<2>) - end scope: 'plb' - begin scope: 'plb2opb' - LUT4:I1->O 5 0.195 0.737 plb2opb/PLB_IF_I/_cmp_gt00001 (plb2opb/PLB_IF_I/_cmp_gt0000) - LUT4:I1->O 3 0.195 0.728 plb2opb/PLB_IF_I/_cmp_eq00101 (plb2opb/PLB_IF_I/_cmp_eq00101) - LUT3:I1->O 1 0.195 0.000 plb2opb/PLB_IF_I/_or002391 (N664) - MUXF5:I1->O 1 0.374 0.765 plb2opb/PLB_IF_I/_or00239_f5 (plb2opb/PLB_IF_I/_or0023_map39) - LUT4:I0->O 1 0.195 0.534 plb2opb/PLB_IF_I/_or002333 (plb2opb/PLB_IF_I/wrBTerm_if_PAValid_rst) - FDRS:R 1.265 plb2opb/PLB_IF_I/wrBTerm_if_PAValid - ---------------------------------------- - Total 9.747ns (4.894ns logic, 4.853ns route) - (50.2% logic, 49.8% route) - -========================================================================= -Timing constraint: Default OFFSET IN BEFORE for Clock 'jtagppc_0/JTGC405TCK0' - - - Total number of paths / destination ports: 2 / 2 -------------------------------------------------------------------------- -Offset: 2.274ns (Levels of Logic = 1) - Source: jtagppc_0/jtagppc_0/single_ppc_connectivity.JTAGPPC_i:TMS (PAD) - Destination: ppc405_0/ppc405_0/PPC405_ADV_i (CPU) - Destination Clock: jtagppc_0/JTGC405TCK0 rising - - Data Path: jtagppc_0/jtagppc_0/single_ppc_connectivity.JTAGPPC_i:TMS to ppc405_0/ppc405_0/PPC405_ADV_i - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - JTAGPPC:TMS 1 0.000 0.000 jtagppc_0/single_ppc_connectivity.JTAGPPC_i (JTGC405TMS0) - end scope: 'jtagppc_0' - begin scope: 'ppc405_0' - PPC405_ADV:JTGC405TMS 1.740 ppc405_0/PPC405_ADV_i - ---------------------------------------- - Total 2.274ns (2.274ns logic, 0.000ns route) - (100.0% logic, 0.0% route) - -========================================================================= -Timing constraint: Default OFFSET IN BEFORE for Clock 'sys_clk_pin' - Total number of paths / destination ports: 43 / 43 -------------------------------------------------------------------------- -Offset: 2.764ns (Levels of Logic = 2) - Source: sys_rst_pin (PAD) - Destination: reset_block/reset_block/EXT_LPF/exr_d1 (FF) - Destination Clock: sys_clk_pin rising - - Data Path: sys_rst_pin to reset_block/reset_block/EXT_LPF/exr_d1 - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - IBUF:I->O 1 0.965 0.534 sys_rst_pin_IBUF (sys_rst_pin_IBUF) - begin scope: 'reset_block' - FDR:R 1.265 reset_block/EXT_LPF/exr_d1 - ---------------------------------------- - Total 2.764ns (2.230ns logic, 0.534ns route) - (80.7% logic, 19.3% route) - -========================================================================= -Timing constraint: Default OFFSET OUT AFTER for Clock 'sys_clk_pin' - Total number of paths / destination ports: 111 / 70 -------------------------------------------------------------------------- -Offset: 4.869ns (Levels of Logic = 2) - Source: leds_4bit/leds_4bit/gpio_core_1/gpio_Data_Out_0 (FF) - Destination: fpga_0_LEDs_4Bit_GPIO_IO_pin<0> (PAD) - Source Clock: sys_clk_pin rising - - Data Path: leds_4bit/leds_4bit/gpio_core_1/gpio_Data_Out_0 to fpga_0_LEDs_4Bit_GPIO_IO_pin<0> - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - FDC:C->Q 2 0.360 0.552 leds_4bit/gpio_core_1/gpio_Data_Out_0 (GPIO_IO_O<0>) - end scope: 'leds_4bit' - IOBUF:I->IO 3.957 iobuf_0 (fpga_0_LEDs_4Bit_GPIO_IO_pin<0>) - ---------------------------------------- - Total 4.869ns (4.317ns logic, 0.552ns route) - (88.7% logic, 11.3% route) - -========================================================================= -Timing constraint: Default OFFSET OUT AFTER for Clock 'jtagppc_0/JTGC405TCK0' - Total number of paths / destination ports: 2 / 2 -------------------------------------------------------------------------- -Offset: 2.170ns (Levels of Logic = 1) - Source: ppc405_0/ppc405_0/PPC405_ADV_i (CPU) - Destination: jtagppc_0/jtagppc_0/single_ppc_connectivity.JTAGPPC_i:TDOTSPPC (PAD) - Source Clock: jtagppc_0/JTGC405TCK0 rising - - Data Path: ppc405_0/ppc405_0/PPC405_ADV_i to jtagppc_0/jtagppc_0/single_ppc_connectivity.JTAGPPC_i:TDOTSPPC - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - - - ---------------------------------------- ------------ - PPC405_ADV:JTGC405TCK->C405JTGTDOEN 0 2.170 0.000 ppc405_0/PPC405_ADV_i (C405JTGTDOEN) - end scope: 'ppc405_0' - begin scope: 'jtagppc_0' - JTAGPPC:TDOTSPPC 0.000 jtagppc_0/single_ppc_connectivity.JTAGPPC_i - ---------------------------------------- - Total 2.170ns (2.170ns logic, 0.000ns route) - (100.0% logic, 0.0% route) - -========================================================================= -CPU : 81.44 / 81.53 s | Elapsed : 81.00 / 81.00 s - ---> - -Total memory usage is 208836 kilobytes - -Number of errors : 0 ( 0 filtered) -Number of warnings : 96 ( 0 filtered) -Number of infos : 27 ( 0 filtered) - - - -********************************************* -Running Xilinx Implementation tools.. -********************************************* - - -xflow -wd implementation -p xc4vfx12ff668-10 -implement xflow.opt system.ngc - - -Release 8.2.02i - Xflow I.34 -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - - -xflow.exe -wd implementation -p xc4vfx12ff668-10 -implement xflow.opt system.ngc - - - -.... Copying flowfile c:/devtools/Xilinx/xilinx/data/fpga.flw into working -directory -C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/implementation - -Using Flow File: -C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/implementation/fpg -a.flw -Using Option File(s): - C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/implementation/xf -low.opt - -Creating Script File ... - -#----------------------------------------------# -# Starting program ngdbuild -# ngdbuild -p xc4vfx12ff668-10 -nt timestamp -bm system.bmm -"C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/implementation/sy -stem.ngc" -uc system.ucf system.ngd -#----------------------------------------------# - - -Release 8.2.02i - ngdbuild I.34 -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - -Command Line: ngdbuild -p xc4vfx12ff668-10 -nt timestamp -bm system.bmm -C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/implementation/sys -tem.ngc -uc system.ucf system.ngd - -Reading NGO file -'C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/implementation/sy -stem.ngc' ... - - -Loading design module -"C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/implementation/pp -c405_0_wrapper.ngc"... - - -Loading design module -"C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/implementation/jt -agppc_0_wrapper.ngc"... -Loading design module -"C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/implementation/re -set_block_wrapper.ngc"... -Loading design module -"C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/implementation/pl -b_wrapper.ngc"... -Loading design module -"C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/implementation/op -b_wrapper.ngc"... -Loading design module -"C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/implementation/pl -b2opb_wrapper.ngc"... -Loading design module -"C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/implementation/rs -232_uart_wrapper.ngc"... -Loading design module -"C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/implementation/le -ds_4bit_wrapper.ngc"... -Loading design module -"C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/implementation/le -ds_positions_wrapper.ngc"... -Loading design module -"C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/implementation/sr -am_256kx32_wrapper.ngc"... -Loading design module -"C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/implementation/pl -b_bram_if_cntlr_1_wrapper.ngc"... -Loading design module -"C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/implementation/pl -b_bram_if_cntlr_1_bram_wrapper.ngc"... -Loading design module -"C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/implementation/op -b_intc_0_wrapper.ngc"... -Loading design module -"C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/implementation/sr -am_256kx32_util_bus_split_0_wrapper.ngc"... -Loading design module -"C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/implementation/dc -m_0_wrapper.ngc"... - -Applying constraints in "system.ucf" to the design... - - - -Checking timing specifications ... - - -INFO:XdmHelpers:851 - TNM "sys_clk_pin", used in period specification - "TS_sys_clk_pin", was traced into DCM_ADV instance - "dcm_0/dcm_0/Using_DCM_ADV.DCM_ADV_INST". The following new TNM groups and - period specifications were generated at the DCM_ADV output(s): - CLK0: TS_dcm_0_dcm_0_CLK0_BUF=PERIOD dcm_0_dcm_0_CLK0_BUF TS_sys_clk_pin*1 -HIGH 50% -WARNING:XdmHelpers:662 - Period specification "TS_dcm_0_dcm_0_CLK0_BUF" - references the TNM group "dcm_0_dcm_0_CLK0_BUF", which contains both pads and - synchronous elements. The timing analyzer will ignore the pads for this - specification. You might want to use a qualifier (e.g. "FFS") on the TNM - property to remove the pads from this group. - -Processing BMM file ... - -Checking expanded design ... - - -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTX - FER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' has - unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTX - FER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG' has - unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECODER/GEN_S_H_AD - DR_REG[0].I_ADDR_S_H_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECODER/GEN_S_H_AD - DR_REG[1].I_ADDR_S_H_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECODER/GEN_S_H_AD - DR_REG[2].I_ADDR_S_H_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECODER/MEM_DECODE - _GEN[1].I_BKEND_CS_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECODER/MEM_DECODE - _GEN[2].I_BKEND_CS_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECODER/MEM_DECODE - _GEN[3].I_BKEND_CS_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_ - CE_REGISTERS[0].I_BKEND_CE_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_ - CE_REGISTERS[0].I_BKEND_RDCE_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_ - CE_REGISTERS[0].I_BKEND_WRCE_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_ - CE_REGISTERS[1].I_BKEND_CE_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_ - CE_REGISTERS[1].I_BKEND_RDCE_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_ - CE_REGISTERS[1].I_BKEND_WRCE_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_ - CE_REGISTERS[2].I_BKEND_CE_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_ - CE_REGISTERS[2].I_BKEND_RDCE_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_ - CE_REGISTERS[2].I_BKEND_WRCE_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_ - CE_REGISTERS[3].I_BKEND_CE_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_ - CE_REGISTERS[3].I_BKEND_RDCE_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_ - CE_REGISTERS[3].I_BKEND_WRCE_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE_ - REG0' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE_ - REG1' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE_ - REG2' has unconnected o - -utput pin - - -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTX - FER.I_BURST_SUPPORT/CONTROL_DBEAT_CNTR_I/I_UP_DWN_COUNTER/I_CARRY_OUT' has - unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTX - FER.I_BURST_SUPPORT/RESPONSE_DBEAT_CNTR_I/I_UP_DWN_COUNTER/I_CARRY_OUT' has - unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTX - FER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' has unconnected - output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTX - FER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG' has unconnected - output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTX - FER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[0].I_FDRSE_BE0to3 - ' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTX - FER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[1].I_FDRSE_BE0to3 - ' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTX - FER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[2].I_FDRSE_BE0to3 - ' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTX - FER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[3].I_FDRSE_BE0to3 - ' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTX - FER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_4to7[4].I_FDRSE_BE4to7 - ' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTX - FER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_4to7[5].I_FDRSE_BE4to7 - ' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTX - FER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_4to7[6].I_FDRSE_BE4to7 - ' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'sram_256kx32/sram_256kx32/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTX - FER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_4to7[7].I_FDRSE_BE4to7 - ' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'plb_bram_if_cntlr_1/plb_bram_if_cntlr_1/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_SL - OW_MODE_BURSTXFER.I_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' has - unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'plb_bram_if_cntlr_1/plb_bram_if_cntlr_1/I_PLB_IPIF/I_SLAVE_ATTACHMENT/GEN_SL - OW_MODE_BURSTXFER.I_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG' has - unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'plb_bram_if_cntlr_1/plb_bram_if_cntlr_1/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECO - DER/GEN_S_H_ADDR_REG[0].I_ADDR_S_H_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'plb_bram_if_cntlr_1/plb_bram_if_cntlr_1/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECO - DER/GEN_S_H_ADDR_REG[1].I_ADDR_S_H_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'plb_bram_if_cntlr_1/plb_bram_if_cntlr_1/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECO - DER/GEN_S_H_ADDR_REG[2].I_ADDR_S_H_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'plb_bram_if_cntlr_1/plb_bram_if_cntlr_1/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECO - DER/GEN_BKEND_CE_REGISTERS[0].I_BKEND_CE_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'plb_bram_if_cntlr_1/plb_bram_if_cntlr_1/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECO - DER/GEN_BKEND_CE_REGISTERS[0].I_BKEND_RDCE_REG' has - -unconnected output pin - - -WARNING:NgdBuild:443 - SFF primitive - 'plb_bram_if_cntlr_1/plb_bram_if_cntlr_1/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECO - DER/GEN_BKEND_CE_REGISTERS[0].I_BKEND_WRCE_REG' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'plb_bram_if_cntlr_1/plb_bram_if_cntlr_1/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECO - DER/I_CS_SIZE_REG0' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'plb_bram_if_cntlr_1/plb_bram_if_cntlr_1/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECO - DER/I_CS_SIZE_REG1' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'plb_bram_if_cntlr_1/plb_bram_if_cntlr_1/I_PLB_IPIF/I_SLAVE_ATTACHMENT/I_DECO - DER/I_CS_SIZE_REG2' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[0].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[1].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[2].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[3].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[4].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[5].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[6].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[7].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[8].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[9].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[10].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[11].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[12].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[13].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[14].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[15].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[16].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[17].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[18].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[19].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[20].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[21].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[22].OPB_ABUS_REG_BIT_I' - has unconnected output pin - - -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[23].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[24].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[25].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[26].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[30].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[31].OPB_ABUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[0].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[1].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[2].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[3].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[4].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[5].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[6].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[7].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[8].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[9].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[10].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[11].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[12].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[13].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[14].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[15].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[16].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[17].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[18].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[19].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[20].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[21].OPB_DBUS_REG_BIT_I' - has unconnected output pin - - -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[22].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[23].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[24].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[25].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[26].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[27].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[28].OPB_DBUS_REG_BIT_I' - has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_DBUS_REG_GEN[29].OPB_DBUS_REG_BIT_I' - has unconnected output pin - -Partition Implementation Status -------------------------------- - - No Partitions were found in this design. - -------------------------------- - -NGDBUILD Design Results Summary: - Number of errors: 0 - Number of warnings: 106 - -Writing NGD file "system.ngd" ... - -Writing NGDBUILD log file "system.bld"... - -NGDBUILD done. - - - -#----------------------------------------------# -# Starting program map -# map -o system_map.ncd -pr b system.ngd system.pcf -#----------------------------------------------# - - -Release 8.2.02i - Map I.34 -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - - -Using target part "4vfx12ff668-10". - - -Mapping design into LUTs... - - -Writing file system_map.ngm... - - -Running directed packing... - - -Running delay-based LUT packing... -Running related packing... - - -Writing design file "system_map.ncd"... - - - -Design Summary: -Number of errors: 0 -Number of warnings: 13 -Logic Utilization: - Number of Slice Flip Flops: 1,653 out of 10,944 15% - Number of Slice FFs used for - DCM autocalibration logic: 7 out of 1,653 1% - Number of 4 input LUTs: 1,466 out of 10,944 13% - Number of LUTs used for - DCM autocalibration logic: 4 out of 1,466 1% - *See INFO below for an explanation of the DCM autocalibration logic - added by Map -Logic Distribution: - Number of occupied Slices: 1,593 out of 5,472 29% - Number of Slices containing only related logic: 1,593 out of 1,593 100% - Number of Slices containing unrelated logic: 0 out of 1,593 0% - *See NOTES below for an explanation of the effects of unrelated logic -Total Number 4 input LUTs: 1,863 out of 10,944 17% - Number used as logic: 1,466 - Number used as a route-thru: 46 - Number used for Dual Port RAMs: 210 - (Two LUTs used per Dual Port RAM) - Number used as Shift registers: 141 - Number of bonded IOBs: 75 out of 320 23% - Number of BUFG/BUFGCTRLs: 1 out of 32 3% - Number used as BUFGs: 1 - Number used as BUFGCTRLs: 0 - Number of FIFO16/RAMB16s: 2 out of 36 5% - Number used as FIFO16s: 0 - Number used as RAMB16s: 2 - Number of DCM_ADVs: 1 out of 4 25% - Number of PPC405_ADVs: 1 out of 1 100% - Number of JTAGPPCs: 1 out of 1 100% - -Total equivalent gate count for design: 177,804 -Additional JTAG gate count for IOBs: 3,600 -Peak Memory Usage: 240 MB - -NOTES: - - Related logic is defined as being logic that shares connectivity - e.g. two - LUTs are "related" if they share common inputs. When assembling slices, - Map gives priority to combine logic that is related. Doing so results in - the best timing performance. - - Unrelated logic shares no connectivity. Map will only begin packing - unrelated logic into a slice once 99% of the slices are occupied through - related logic packing. - - Note that once logic distribution reaches the 99% level through related - logic packing, this does not mean the device is completely utilized. - Unrelated logic packing will then begin, continuing until all usable LUTs - and FFs are occupied. Depending on your timing budget, increased levels of - unrelated logic packing may adversely affect the overall timing performance - of your design. - -INFO: - - Logic has been added to automatically put the DCMs in auto-calibration - mode if the clock input or clock feedback ever stops. This is recommended - to ensure that the DCMs will maintain maximum operating frequency for the - following Virtex-4 devices: Production Step 2 for LX/SX, and all Productions - for FX. The extra logic insertion can be disabled by placing the - DCM_AUTOCALIBRATION=FALSE attribute on each applicable DCM or by setting - the environment variable XIL_DCM_AUTOCALIBRATION_OFF. For more information - regarding the DCM auto-calibration mode, please consult Answer Record 21435. - - -Mapping completed. -See MAP report file "system_map.mrp" for details. - - - -#----------------------------------------------# -# Starting program par -# par -w -ol high system_map.ncd system.ncd system.pcf -#----------------------------------------------# - - -Release 8.2.02i - par I.34 -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - - - -Constraints file: system.pcf. -WARNING:Par:331 - You are using an evaluation version of Xilinx Software. In 35 days, this program will not operate. For - more information about this product, please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local Field Applications Engineer (FAE) or - salesperson. If you have any questions, or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! -Loading device for application Rf_Device from file '4vfx12.nph' in environment c:\devtools\Xilinx. - - - "system" is an NCD, version 3.1, device xc4vfx12, package ff668, speed -10 - - - -Initializing temperature to 85.000 Celsius. (default - Range: -40.000 to 100.000 Celsius) -Initializing voltage to 1.140 Volts. (default - Range: 1.140 to 1.260 Volts) - - - - -Device speed data version: "PRODUCTION 1.61 2006-08-18". - - -Device Utilization Summary: - - Number of BUFGs 1 out of 32 3% - Number of DCM_ADVs 1 out of 4 25% - Number of ILOGICs 42 out of 320 13% - Number of External IOBs 75 out of 320 23% - Number of LOCed IOBs 75 out of 75 100% - - Number of JTAGPPCs 1 out of 1 100% - Number of OLOGICs 61 out of 320 19% - Number of PPC405_ADVs 1 out of 1 100% - Number of RAMB16s 2 out of 36 5% - Number of Slices 1593 out of 5472 29% - Number of SLICEMs 186 out of 2736 6% - - - -Overall effort level (-ol): High -Placer effort level (-pl): High -Placer cost table entry (-t): 1 -Router effort level (-rl): High - -Starting initial Timing Analysis. REAL time: 12 secs -Finished initial Timing Analysis. REAL time: 13 secs - - -Starting Placer - - - -Phase 1.1 - - -Phase 1.1 (Checksum:99077d) REAL time: 19 secs - -Phase 2.7 -Phase 2.7 (Checksum:1312cfe) REAL time: 19 secs - -Phase 3.31 -Phase 3.31 (Checksum:1c9c37d) REAL time: 19 secs - -Phase 4.2 - - - - - -Phase 4.2 (Checksum:26259fc) REAL time: 35 secs - -Phase 5.30 -Phase 5.30 (Checksum:2faf07b) REAL time: 35 secs - -Phase 6.3 -Phase 6.3 (Checksum:39386fa) REAL time: 35 secs - -Phase 7.5 -Phase 7.5 (Checksum:42c1d79) REAL time: 36 secs - -Phase 8.8 -................. - -....................................... - - -................... - - -....... - -.................. - -.............. - -.............. -.................................................................................................... -............................. -............. -Phase 8.8 (Checksum:fc60df) REAL time: 1 mins 8 secs - -Phase 9.5 -Phase 9.5 (Checksum:55d4a77) REAL time: 1 mins 8 secs - -Phase 11.18 -Phase 11.18 (Checksum:68e7775) REAL time: 1 mins 37 secs - -Phase 12.27 -Phase 12.27 (Checksum:7270df4) REAL time: 1 mins 38 secs - -Phase 13.5 -Phase 13.5 (Checksum:7bfa473) REAL time: 1 mins 39 secs - - - -Writing design to file system.ncd - - -Total REAL time to Placer completion: 1 mins 49 secs -Total CPU time to Placer completion: 1 mins 23 secs - -Starting Router - - - -Phase 1: 11496 unrouted; REAL time: 1 mins 50 secs - - - -Phase 2: 9108 unrouted; REAL time: 1 mins 57 secs - - - -Phase 3: 2448 unrouted; REAL time: 2 mins 3 secs - -Phase 4: 2448 unrouted; (6864) REAL time: 2 mins 3 secs - - - -Phase 5: 2447 unrouted; (184) REAL time: 2 mins 10 secs - -Phase 6: 2447 unrouted; (0) REAL time: 2 mins 11 secs - - - -Phase 7: 0 unrouted; (0) REAL time: 2 mins 14 secs - - - -Phase 8: 0 unrouted; (0) REAL time: 2 mins 21 secs - - - -Phase 9: 0 unrouted; (0) REAL time: 2 mins 30 secs - -Total REAL time to Router completion: 2 mins 30 secs -Total CPU time to Router completion: 2 mins 2 secs - -Partition Implementation Status -------------------------------- - - No Partitions were found in this design. - -------------------------------- - -Generating "PAR" statistics. - -************************** -Generating Clock Report -************************** - -+---------------------+--------------+------+------+------------+-------------+ -| Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)| -+---------------------+--------------+------+------+------------+-------------+ -|fpga_0_SRAM_CLOCK_OB | | | | | | -| UF | BUFGCTRL_X0Y0| No | 1291 | 0.312 | 2.673 | -+---------------------+--------------+------+------+------------+-------------+ -|jtagppc_0_0_JTGC405T | | | | | | -| CK | Local| | 1 | 0.000 | 1.647 | -+---------------------+--------------+------+------+------------+-------------+ -|opb_intc_0/opb_intc_ | | | | | | -|0/INTC_CORE_I/ONE_IN | | | | | | -|TR_DET_GEN.INTR_DET_ | | | | | | -| I/interrupts<0> | Local| | 1 | 0.000 | 0.492 | -+---------------------+--------------+------+------+------------+-------------+ -|DCM_AUTOCALIBRATION_ | | | | | | -|dcm_0/dcm_0/Using_DC | | | | | | -|M_ADV.DCM_ADV_INST/d | | | | | | -|cm_0/dcm_0/Using_DCM | | | | | | -|_ADV.DCM_ADV_INST/CL | | | | | | -| KOUT | Local| | 6 | 0.013 | 0.499 | -+---------------------+--------------+------+------+------------+-------------+ -|DCM_AUTOCALIBRATION_ | | | | | | -|dcm_0/dcm_0/Using_DC | | | | | | -|M_ADV.DCM_ADV_INST/d | | | | | | -|cm_0/dcm_0/Using_DCM | | | | | | -|_ADV.DCM_ADV_INST/cd | | | | | | -| /CLK<3> | Local| | 2 | 0.000 | 0.532 | -+---------------------+--------------+------+------+------------+-------------+ -|DCM_AUTOCALIBRATION_ | | | | | | -|dcm_0/dcm_0/Using_DC | | | | | | -|M_ADV.DCM_ADV_INST/d | | | | | | -|cm_0/dcm_0/Using_DCM | | | | | | -|_ADV.DCM_ADV_INST/cd | | | | | | -| /CLK<2> | Local| | 2 | 0.000 | 0.475 | -+---------------------+--------------+------+------+------------+-------------+ -|DCM_AUTOCALIBRATION_ | | | | | | -|dcm_0/dcm_0/Using_DC | | | | | | -|M_ADV.DCM_ADV_INST/d | | | | | | -|cm_0/dcm_0/Using_DCM | | | | | | -|_ADV.DCM_ADV_INST/cd | | | | | | -| /CLK<4> | Local| | 2 | 0.000 | 0.850 | -+---------------------+--------------+------+------+------------+-------------+ -|DCM_AUTOCALIBRATION_ | | | | | | -|dcm_0/dcm_0/Using_DC | | | | | | -|M_ADV.DCM_ADV_INST/d | | | | | | -|cm_0/dcm_0/Using_DCM - - | | | | | | -|_ADV.DCM_ADV_INST/cd | | | | | | -| /CLK<5> | Local| | 2 | 0.000 | 0.462 | -+---------------------+--------------+------+------+------------+-------------+ -|DCM_AUTOCALIBRATION_ | | | | | | -|dcm_0/dcm_0/Using_DC | | | | | | -|M_ADV.DCM_ADV_INST/d | | | | | | -|cm_0/dcm_0/Using_DCM | | | | | | -|_ADV.DCM_ADV_INST/cd | | | | | | -| /CLK<6> | Local| | 2 | 0.000 | 0.692 | -+---------------------+--------------+------+------+------------+-------------+ -|DCM_AUTOCALIBRATION_ | | | | | | -|dcm_0/dcm_0/Using_DC | | | | | | -|M_ADV.DCM_ADV_INST/d | | | | | | -|cm_0/dcm_0/Using_DCM | | | | | | -|_ADV.DCM_ADV_INST/cd | | | | | | -| /CLK<1> | Local| | 2 | 0.000 | 0.498 | -+---------------------+--------------+------+------+------------+-------------+ -|DCM_AUTOCALIBRATION_ | | | | | | -|dcm_0/dcm_0/Using_DC | | | | | | -|M_ADV.DCM_ADV_INST/d | | | | | | -|cm_0/dcm_0/Using_DCM | | | | | | -|_ADV.DCM_ADV_INST/FA | | | | | | -| STCLK | Local| | 3 | 0.000 | 0.497 | -+---------------------+--------------+------+------+------------+-------------+ - -* Net Skew is the difference between the minimum and maximum routing -only delays for the net. Note this is different from Clock Skew which -is reported in TRCE timing report. Clock Skew is the difference between -the minimum and maximum path delays which includes logic delays. - -Timing Score: 0 - -Number of Timing Constraints that were not applied: 1 - -Asterisk (*) preceding a constraint indicates it was not met. - This may be due to a setup or hold violation. - ------------------------------------------------------------------------------------------------------- - Constraint | Requested | Actual | Logic | Absolute |Number of - | | | Levels | Slack |errors ------------------------------------------------------------------------------------------------------- - TS_dcm_0_dcm_0_CLK0_BUF = PERIOD TIMEGRP | 10.000ns | 9.723ns | 4 | 0.277ns | 0 - "dcm_0_dcm_0_CLK0_BUF" TS_sys_clk_pin | | | | | - HIGH 50% | | | | | ------------------------------------------------------------------------------------------------------- - TS_sys_clk_pin = PERIOD TIMEGRP "sys_clk_ | N/A | N/A | N/A | N/A | N/A - pin" 10 ns HIGH 50% | | | | | ------------------------------------------------------------------------------------------------------- - PATH "TS_RST1_path" TIG | N/A | 3.522ns | 1 | N/A | N/A ------------------------------------------------------------------------------------------------------- - - -All constraints were met. -INFO:Timing:2761 - N/A entries in the Constraints list may indicate that the - constraint does not cover any paths or that it has no requested value. - - -Generating Pad Report. - -All signals are completely routed. - -Total REAL time to PAR completion: 2 mins 34 secs - - -Total CPU time to PAR completion: 2 mins 4 secs - -Peak Memory Usage: 239 MB - -Placement: Completed - No errors found. -Routing: Completed - No errors found. -Timing: Completed - No errors found. - -Number of error messages: 0 -Number of warning messages: 1 -Number of info messages: 0 - -Writing design to file system.ncd - - - - - -PAR done! - - - -#----------------------------------------------# -# Starting program post_par_trce -# trce -e 3 -xml system.twx system.ncd system.pcf -#----------------------------------------------# - - -Release 8.2.02i - Trace -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - - -Loading device for application Rf_Device from file '4vfx12.nph' in environment -c:\devtools\Xilinx. - - - "system" is an NCD, version 3.1, device xc4vfx12, package ff668, speed -10 - - --------------------------------------------------------------------------------- -Release 8.2.02i Trace -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - -trce -e 3 -xml system.twx system.ncd system.pcf - - -Design file: system.ncd -Physical constraint file: system.pcf -Device,speed: xc4vfx12,-10 (PRODUCTION 1.61 2006-08-18, STEPPING -level 0) -Report level: error report --------------------------------------------------------------------------------- - -INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths - option. All paths that are not constrained will be reported in the - unconstrained paths section(s) of the report. - - -Timing summary: ---------------- - -Timing errors: 0 Score: 0 - -Constraints cover 38305 paths, 0 nets, and 10316 connections - -Design statistics: - Minimum period: 9.723ns (Maximum frequency: 102.849MHz) - - -Analysis completed Tue Mar 04 09:10:25 2008 --------------------------------------------------------------------------------- - -Generating Report ... - -Number of warnings: 0 -Number of info messages: 1 -Total time: 16 secs - - -xflow done! - - -********************************************* - - -Running Bitgen.. -********************************************* - - -cd implementation; bitgen -w -f bitgen.ut system - - -Release 8.2.02i - Bitgen I.34 -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - - -Loading device for application Rf_Device from file '4vfx12.nph' in environment -c:\devtools\Xilinx. - - - "system" is an NCD, version 3.1, device xc4vfx12, package ff668, speed -10 - - -Opened constraints file system.pcf. - -Tue Mar 04 09:10:36 2008 - -Running DRC. - - -WARNING:PhysDesignRules:372 - Gated clock. Clock net - opb_intc_0/opb_intc_0/INTC_CORE_I/ONE_INTR_DET_GEN.INTR_DET_I/interrupts<0> - is sourced by a combinatorial pin. This is not good design practice. Use the - CE pin to control the loading of data into the flip-flop. -WARNING:PhysDesignRules:372 - Gated clock. Clock net - DCM_AUTOCALIBRATION_dcm_0/dcm_0/Using_DCM_ADV.DCM_ADV_INST/dcm_0/dcm_0/Using_ - DCM_ADV.DCM_ADV_INST/CLKOUT is sourced by a combinatorial pin. This is not - good design practice. Use the CE pin to control the loading of data into the - flip-flop. -WARNING:PhysDesignRules:372 - Gated clock. Clock net - DCM_AUTOCALIBRATION_dcm_0/dcm_0/Using_DCM_ADV.DCM_ADV_INST/dcm_0/dcm_0/Using_ - DCM_ADV.DCM_ADV_INST/cd/CLK<3> is sourced by a combinatorial pin. This is not - good design practice. Use the CE pin to control the loading of data into the - flip-flop. -WARNING:PhysDesignRules:372 - Gated clock. Clock net - DCM_AUTOCALIBRATION_dcm_0/dcm_0/Using_DCM_ADV.DCM_ADV_INST/dcm_0/dcm_0/Using_ - DCM_ADV.DCM_ADV_INST/cd/CLK<2> is sourced by a combinatorial pin. This is not - good design practice. Use the CE pin to control the loading of data into the - flip-flop. -WARNING:PhysDesignRules:372 - Gated clock. Clock net - DCM_AUTOCALIBRATION_dcm_0/dcm_0/Using_DCM_ADV.DCM_ADV_INST/dcm_0/dcm_0/Using_ - DCM_ADV.DCM_ADV_INST/cd/CLK<4> is sourced by a combinatorial pin. This is not - good design practice. Use the CE pin to control the loading of data into the - flip-flop. -WARNING:PhysDesignRules:372 - Gated clock. Clock net - DCM_AUTOCALIBRATION_dcm_0/dcm_0/Using_DCM_ADV.DCM_ADV_INST/dcm_0/dcm_0/Using_ - DCM_ADV.DCM_ADV_INST/cd/CLK<5> is sourced by a combinatorial pin. This is not - good design practice. Use the CE pin to control the loading of data into the - flip-flop. -WARNING:PhysDesignRules:372 - Gated clock. Clock net - DCM_AUTOCALIBRATION_dcm_0/dcm_0/Using_DCM_ADV.DCM_ADV_INST/dcm_0/dcm_0/Using_ - DCM_ADV.DCM_ADV_INST/cd/CLK<6> is sourced by a combinatorial pin. This is not - good design practice. Use the CE pin to control the loading of data into the - flip-flop. -WARNING:PhysDesignRules:372 - Gated clock. Clock net - DCM_AUTOCALIBRATION_dcm_0/dcm_0/Using_DCM_ADV.DCM_ADV_INST/dcm_0/dcm_0/Using_ - DCM_ADV.DCM_ADV_INST/cd/CLK<1> is sourced by a combinatorial pin. This is not - good design practice. Use the CE pin to control the loading of data into the - flip-flop. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -DRC detected 0 errors and 9 warnings. - - -Creating bit map... - - -Saving bit stream in "system.bit". - - -Bitstream generation is complete. - - -********************************************* - - -Initializing BRAM contents of the bitstream - - -********************************************* -bitinit system.mhs \ --bt implementation/system.bit -o implementation/download.bit - - - -bitinit version Xilinx EDK 8.2.02 Build EDK_Im_Sp2.4 -Copyright (c) Xilinx Inc. 2002. - -Parsing MHS File system.mhs... - - -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/ppc405_virtex4_v1_01_a/data -/ppc405_virtex4_v2_1_0.tcl ... - - -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/jtagppc_cntlr_v2_00_a/data/ -jtagppc_cntlr_v2_1_0.tcl ... -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/plb_v34_v1_02_a/data/plb_v3 -4_v2_1_0.tcl ... - - -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/plb2opb_bridge_v1_01_a/data -/plb2opb_bridge_v2_1_0.tcl ... -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/plb_emc_v2_00_a/data/plb_em -c_v2_1_0.tcl ... - - -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/plb_bram_if_cntlr_v1_00_b/d -ata/plb_bram_if_cntlr_v2_1_0.tcl ... -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/opb_intc_v1_00_c/data/opb_i -ntc_v2_1_0.tcl ... -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm -_module_v2_1_0.tcl ... - - - -Overriding IP level properties ... -jtagppc_cntlr (jtagppc_0) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\jtagppc_cntlr_v2_00_a\data\ -jtagppc_cntlr_v2_1_0.mpd line 36 - tool overriding c_device value X2VP4 to -plb2opb_bridge (plb2opb) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\plb2opb_bridge_v1_01_a\data -\plb2opb_bridge_v2_1_0.mpd line 39 - tool overriding c_family value virtex2p to -opb_gpio (leds_4bit) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_g -pio_v2_1_0.mpd line 42 - tool overriding c_family value virtex2 to -opb_gpio (leds_positions) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_g -pio_v2_1_0.mpd line 42 - tool overriding c_family value virtex2 to -bram_block (plb_bram_if_cntlr_1_bram) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bra -m_block_v2_1_0.mpd line 43 - tool overriding c_family value virtex2 to -opb_intc (opb_intc_0) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\opb_intc_v1_00_c\data\opb_i -ntc_v2_1_0.mpd line 38 - tool overriding c_family value virtex2 to -dcm_module (dcm_0) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm -_module_v2_1_0.mpd line 61 - tool overriding c_family value virtex2 to - -Performing IP level DRCs on properties... - -Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC... -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/emc_common_v2_00_a/data/emc -_common_v2_1_0.tcl ... -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/ -bram_if_cntlr_v2_1_0.tcl ... - - -Address Map for Processor ppc405_0 - (0x00000000-0x000fffff) SRAM_256Kx32 plb - (0x40000000-0x4000ffff) LEDs_4Bit plb->plb2opb->opb - (0x40020000-0x4002ffff) LEDs_Positions plb->plb2opb->opb - (0x40600000-0x4060ffff) RS232_Uart plb->plb2opb->opb - (0x41200000-0x4120ffff) opb_intc_0 plb->plb2opb->opb - (0xfffff000-0xffffffff) plb_bram_if_cntlr_1 plb - -Initializing Memory... -No ELF file specified for initialization. -Copying implementation/system.bit to implementation/download.bit... -Memory Initialization completed successfully. - - - - -********************************************* -Downloading Bitstream onto the target board - - -********************************************* -impact -batch etc/download.cmd - - -Release 8.2.02i - iMPACT I.34 -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - - -// *** BATCH CMD : setMode -bs -// *** BATCH CMD : setCable -port auto -AutoDetecting cable. Please wait. - - -Connecting to cable (Parallel Port - LPT1). -Checking cable driver. - Driver windrvr6.sys version = 8.1.1.0. - -No resources. - LPT base address = 0378h. - ECP base address = 0778h. - ECP hardware is detected. -Cable connection established. - - -Connecting to cable (Parallel Port - LPT1) in ECP mode. -Checking cable driver. - - - Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h. - Cable Type = 1, Revision = 3. - Setting cable speed to 5 MHz. -Cable connection established. -// *** BATCH CMD : identify -Identifying chain contents ....Version is 0101 -'1': : Manufacturer's ID =Xilinx xc95144xl, Version : 5 -PMSPEC -- Overriding Xilinx file -with local file - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xc9500xl/data/xc95144xl.bsd... -INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'2': : Manufacturer's ID =Xilinx xc4vfx12, Version : 0 - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/virtex4/data/xc4vfx12.bsd... - - -INFO:iMPACT:501 - '1': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 1111 -'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15 - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xcfp/data/xcf32p.bsd... - - -INFO:iMPACT:501 - '1': Added Device xcf32p successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'4': : Manufacturer's ID =Xilinx xccace, Version : 0 -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/acecf/data/xccace.bsd... -INFO:iMPACT:501 - '1': Added Device xccace successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -done. -Chain TCK freq = 10000000. -'2': Putting device in ISP mode...done. -Chain TCK freq = 10000000. -Validating chain... -Boundary-scan chain validated successfully. -Elapsed time = 2 sec. -// *** BATCH CMD : identifyMPM -Elapsed time = 0 sec. -// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit" -'3': Loading file 'implementation/download.bit' ... - - -done. -INFO:iMPACT:501 - '3': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- -// *** BATCH CMD : program -p 3 -Chain TCK freq = 10000000. -Chain TCK freq = 10000000. -Validating chain... -Boundary-scan chain validated successfully. - - -'3':Programming device... - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - -make: *** [download] Error 1 - - - - -Done! - -At Local date and time: Tue Mar 04 09:12:39 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make download; exit;" started... - - -********************************************* - - -Downloading Bitstream onto the target board -********************************************* -impact -batch etc/download.cmd - - -Release 8.2.02i - iMPACT I.34 -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - - -// *** BATCH CMD : setMode -bs -// *** BATCH CMD : setCable -port auto -AutoDetecting cable. Please wait. - - -Connecting to cable (Parallel Port - LPT1). -Checking cable driver. - Driver windrvr6.sys version = 8.1.1.0. - -No resources. - LPT base address = 0378h. - ECP base address = 0778h. - ECP hardware is detected. -Cable connection established. -Connecting to cable (Parallel Port - LPT1) in ECP mode. -Checking cable driver. - Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h. - Cable Type = 1, Revision = 3. - Setting cable speed to 5 MHz. -Cable connection established. -// *** BATCH CMD : identify -Identifying chain contents ....Version is 0101 -'1': : Manufacturer's ID =Xilinx xc95144xl, Version : 5 -PMSPEC -- Overriding Xilinx file -with local file - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xc9500xl/data/xc95144xl.bsd... -INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'2': : Manufacturer's ID =Xilinx xc4vfx12, Version : 0 -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/virtex4/data/xc4vfx12.bsd... - - -INFO:iMPACT:501 - '1': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 1111 -'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15 -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xcfp/data/xcf32p.bsd... -INFO:iMPACT:501 - '1': Added Device xcf32p successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'4': : Manufacturer's ID =Xilinx xccace, Version : 0 -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/acecf/data/xccace.bsd... -INFO:iMPACT:501 - '1': Added Device xccace successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -done. -Chain TCK freq = 10000000. -'2': Putting device in ISP mode...done. -Chain TCK freq = 10000000. -Validating chain... -Boundary-scan chain validated successfully. -Elapsed time = 1 sec. -// *** BATCH CMD : identifyMPM -Elapsed time = 0 sec. -// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit" -'3': Loading file 'implementation/download.bit' ... - - -done. -INFO:iMPACT:501 - '3': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- -// *** BATCH CMD : program -p 3 -Chain TCK freq = 10000000. -Chain TCK freq = 10000000. -Validating chain... -Boundary-scan chain validated successfully. - - -'3':Programming device... - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - -make: *** [download] Error 1 - - - - -Done! - -At Local date and time: Tue Mar 04 09:13:35 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make download; exit;" started... - - - - -********************************************* -Downloading Bitstream onto the target board - - -********************************************* -impact -batch etc/download.cmd - - -Release 8.2.02i - iMPACT I.34 -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - - -// *** BATCH CMD : setMode -bs -// *** BATCH CMD : setCable -port auto -AutoDetecting cable. Please wait. - - -Connecting to cable (Parallel Port - LPT1). -Checking cable driver. - Driver windrvr6.sys version = 8.1.1.0. - -No resources. - LPT base address = 0378h. - ECP base address = 0778h. - ECP hardware is detected. -Cable connection established. - - -Connecting to cable (Parallel Port - LPT1) in ECP mode. -Checking cable driver. - - - Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h. - Cable Type = 1, Revision = 3. - Setting cable speed to 5 MHz. -Cable connection established. -// *** BATCH CMD : identify -Identifying chain contents ....Version is 0101 -'1': : Manufacturer's ID =Xilinx xc95144xl, Version : 5 -PMSPEC -- Overriding Xilinx file -with local file -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xc9500xl/data/xc95144xl.bsd... -INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'2': : Manufacturer's ID =Xilinx xc4vfx12, Version : 0 - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/virtex4/data/xc4vfx12.bsd... -INFO:iMPACT:501 - '1': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 1111 -'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15 -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xcfp/data/xcf32p.bsd... -INFO:iMPACT:501 - '1': Added Device xcf32p successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'4': : Manufacturer's ID =Xilinx xccace, Version : 0 - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/acecf/data/xccace.bsd... -INFO:iMPACT:501 - '1': Added Device xccace successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -done. -Chain TCK freq = 10000000. -'2': Putting device in ISP mode...done. -Chain TCK freq = 10000000. -Validating chain... -Boundary-scan chain validated successfully. -Elapsed time = 0 sec. -// *** BATCH CMD : identifyMPM -Elapsed time = 0 sec. -// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit" -'3': Loading file 'implementation/download.bit' ... - - -done. -INFO:iMPACT:501 - '3': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- -// *** BATCH CMD : program -p 3 -Chain TCK freq = 10000000. -Chain TCK freq = 10000000. -Validating chain... -Boundary-scan chain validated successfully. - - -'3':Programming device... - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - -make: *** [download] Error 1 - - - - -Done! - -At Local date and time: Tue Mar 04 09:14:16 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make download; exit;" started... - - -********************************************* - - -Downloading Bitstream onto the target board -********************************************* -impact -batch etc/download.cmd - - -Release 8.2.02i - iMPACT I.34 -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - - -// *** BATCH CMD : setMode -bs -// *** BATCH CMD : setCable -port auto -AutoDetecting cable. Please wait. - - -Connecting to cable (Parallel Port - LPT1). -Checking cable driver. - Driver windrvr6.sys version = 8.1.1.0. - -No resources. - LPT base address = 0378h. - ECP base address = 0778h. - ECP hardware is detected. -Cable connection established. - - -Connecting to cable (Parallel Port - LPT1) in ECP mode. -Checking cable driver. - - - Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h. - Cable Type = 1, Revision = 3. - Setting cable speed to 5 MHz. -Cable connection established. -// *** BATCH CMD : identify -Identifying chain contents ....Version is 0101 -'1': : Manufacturer's ID =Xilinx xc95144xl, Version : 5 -PMSPEC -- Overriding Xilinx file -with local file -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xc9500xl/data/xc95144xl.bsd... -INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'2': : Manufacturer's ID =Xilinx xc4vfx12, Version : 0 - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/virtex4/data/xc4vfx12.bsd... -INFO:iMPACT:501 - '1': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 1111 -'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15 -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xcfp/data/xcf32p.bsd... -INFO:iMPACT:501 - '1': Added Device xcf32p successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'4': : Manufacturer's ID =Xilinx xccace, Version : 0 - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/acecf/data/xccace.bsd... -INFO:iMPACT:501 - '1': Added Device xccace successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -done. -Chain TCK freq = 10000000. -'2': Putting device in ISP mode...done. -Chain TCK freq = 10000000. -Validating chain... -Boundary-scan chain validated successfully. -Elapsed time = 1 sec. -// *** BATCH CMD : identifyMPM -Elapsed time = 0 sec. -// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit" -'3': Loading file 'implementation/download.bit' ... -done. -INFO:iMPACT:501 - '3': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- -// *** BATCH CMD : program -p 3 -Chain TCK freq = 10000000. -Chain TCK freq = 10000000. -Validating chain... -Boundary-scan chain validated successfully. - - -'3':Programming device... - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - -make: *** [download] Error 1 - - - - -Done! - -Xilinx Platform Studio (XPS) -Xilinx EDK 8.2.02 Build EDK_Im_Sp2.4 - -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - -At Local date and time: Tue Mar 04 09:15:32 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make download; exit;" started... - - - - -********************************************* -Downloading Bitstream onto the target board -********************************************* -impact -batch etc/download.cmd - - -Release 8.2.02i - iMPACT I.34 -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - - -// *** BATCH CMD : setMode -bs -// *** BATCH CMD : setCable -port auto -AutoDetecting cable. Please wait. - - -Connecting to cable (Parallel Port - LPT1). -Checking cable driver. - Driver windrvr6.sys version = 8.1.1.0. - -No resources. - LPT base address = 0378h. - ECP base address = 0778h. - ECP hardware is detected. -Cable connection established. - - -Connecting to cable (Parallel Port - LPT1) in ECP mode. -Checking cable driver. - - - Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h. - Cable Type = 1, Revision = 3. - Setting cable speed to 5 MHz. -Cable connection established. -// *** BATCH CMD : identify -Identifying chain contents ....Version is 0101 -'1': : Manufacturer's ID =Xilinx xc95144xl, Version : 5 -PMSPEC -- Overriding Xilinx file -with local file -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xc9500xl/data/xc95144xl.bsd... -INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'2': : Manufacturer's ID =Xilinx xc4vfx12, Version : 0 - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/virtex4/data/xc4vfx12.bsd... -INFO:iMPACT:501 - '1': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 1111 -'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15 -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xcfp/data/xcf32p.bsd... -INFO:iMPACT:501 - '1': Added Device xcf32p successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'4': : Manufacturer's ID =Xilinx xccace, Version : 0 - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/acecf/data/xccace.bsd... -INFO:iMPACT:501 - '1': Added Device xccace successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -done. -Chain TCK freq = 10000000. -'2': Putting device in ISP mode...done. -Chain TCK freq = 10000000. -Validating chain... -Boundary-scan chain validated successfully. -Elapsed time = 0 sec. -// *** BATCH CMD : identifyMPM -Elapsed time = 0 sec. -// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit" -'3': Loading file 'implementation/download.bit' ... - - -done. -INFO:iMPACT:501 - '3': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- -// *** BATCH CMD : program -p 3 -Chain TCK freq = 10000000. -Chain TCK freq = 10000000. -Validating chain... -Boundary-scan chain validated successfully. -'3':Programming device... - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - -make: *** [download] Error 1 - - - - -Done! - -ERROR:MDT - Could not open C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\system_incl.make for writing - -Xilinx Platform Studio (XPS) -Xilinx EDK 8.2.02 Build EDK_Im_Sp2.4 - -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - -At Local date and time: Tue Mar 04 09:29:20 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -********************************************* - - -Creating software libraries... -********************************************* -libgen -mhs system.mhs -p xc4vfx12ff668-10 system.mss - - -libgen -Xilinx EDK 8.2.02 Build EDK_Im_Sp2.4 -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - - - -Command Line: libgen -mhs system.mhs -p xc4vfx12ff668-10 system.mss - - - -Output Directory (-od) : -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\ -Part (-p) : virtex4 - -Software Specification file : system.mss - - -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/ppc405_virtex4_v1_01_a/data -/ppc405_virtex4_v2_1_0.tcl ... - - -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/jtagppc_cntlr_v2_00_a/data/ -jtagppc_cntlr_v2_1_0.tcl ... -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/plb_v34_v1_02_a/data/plb_v3 -4_v2_1_0.tcl ... - - -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/plb2opb_bridge_v1_01_a/data -/plb2opb_bridge_v2_1_0.tcl ... - - -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/plb_emc_v2_00_a/data/plb_em -c_v2_1_0.tcl ... -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/plb_bram_if_cntlr_v1_00_b/d -ata/plb_bram_if_cntlr_v2_1_0.tcl ... -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/opb_intc_v1_00_c/data/opb_i -ntc_v2_1_0.tcl ... - - -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm -_module_v2_1_0.tcl ... - - - -Overriding IP level properties ... -jtagppc_cntlr (jtagppc_0) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\jtagppc_cntlr_v2_00_a\data\ -jtagppc_cntlr_v2_1_0.mpd line 36 - tool overriding c_device value X2VP4 to -4vfx12 -plb2opb_bridge (plb2opb) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\plb2opb_bridge_v1_01_a\data -\plb2opb_bridge_v2_1_0.mpd line 39 - tool overriding c_family value virtex2p to -virtex4 -opb_gpio (leds_4bit) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_g -pio_v2_1_0.mpd line 42 - tool overriding c_family value virtex2 to virtex4 -opb_gpio (leds_positions) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_g -pio_v2_1_0.mpd line 42 - tool overriding c_family value virtex2 to virtex4 -bram_block (plb_bram_if_cntlr_1_bram) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bra -m_block_v2_1_0.mpd line 43 - tool overriding c_family value virtex2 to virtex4 -opb_intc (opb_intc_0) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\opb_intc_v1_00_c\data\opb_i -ntc_v2_1_0.mpd line 38 - tool overriding c_family value virtex2 to virtex4 -dcm_module (dcm_0) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm -_module_v2_1_0.mpd line 61 - tool overriding c_family value virtex2 to virtex4 - -Performing IP level DRCs on properties... - -Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC... - - -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/emc_common_v2_00_a/data/emc -_common_v2_1_0.tcl ... - - -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/ -bram_if_cntlr_v2_1_0.tcl ... - - -Address Map for Processor ppc405_0 - (0x00000000-0x000fffff) SRAM_256Kx32 plb - (0x40000000-0x4000ffff) LEDs_4Bit plb->plb2opb->opb - (0x40020000-0x4002ffff) LEDs_Positions plb->plb2opb->opb - (0x40600000-0x4060ffff) RS232_Uart plb->plb2opb->opb - (0x41200000-0x4120ffff) opb_intc_0 plb->plb2opb->opb - (0xfffff000-0xffffffff) plb_bram_if_cntlr_1 plb - -Check platform address map ... - -Overriding system level properties ... -plb_v34 (plb) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\plb_v34_v1_02_a\data\plb_v3 -4_v2_1_0.mpd line 42 - tool overriding c_plb_num_masters value 4 to 2 -plb_v34 (plb) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\plb_v34_v1_02_a\data\plb_v3 -4_v2_1_0.mpd line 43 - tool overriding c_plb_num_slaves value 4 to 3 -plb_v34 (plb) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\plb_v34_v1_02_a\data\plb_v3 -4_v2_1_0.mpd line 44 - tool overriding c_plb_mid_width value 2 to 1 -opb_v20 (opb) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v2 -0_v2_1_0.mpd line 40 - tool overriding c_num_masters value 4 to 1 -plb2opb_bridge (plb2opb) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\plb2opb_bridge_v1_01_a\data -\plb2opb_bridge_v2_1_0.mpd line 51 - tool overriding c_plb_num_masters value 4 -to 2 -plb2opb_bridge (plb2opb) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\plb2opb_bridge_v1_01_a\data -\plb2opb_bridge_v2_1_0.mpd line 52 - tool overriding c_plb_mid_width value 4 to -1 -plb_emc (sram_256kx32) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\plb_emc_v2_00_a\data\plb_em -c_v2_1_0.mpd line 39 - tool overriding c_num_masters value 8 to 2 -plb_emc (sram_256kx32) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\plb_emc_v2_00_a\data\plb_em -c_v2_1_0.mpd line 97 - tool overriding c_plb_mid_width value 3 to 1 -plb_bram_if_cntlr (plb_bram_if_cntlr_1) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\plb_bram_if_cntlr_v1_00_b\d -ata\plb_bram_if_cntlr_v2_1_0.mpd line 43 - tool overriding c_num_masters value 8 -to 2 -plb_bram_if_cntlr (plb_bram_if_cntlr_1) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\plb_bram_if_cntlr_v1_00_b\d -ata\plb_bram_if_cntlr_v2_1_0.mpd line 50 - tool overriding c_plb_mid_width value -3 to 1 -bram_block (plb_bram_if_cntlr_1_bram) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bra -m_block_v2_1_0.mpd line 39 - tool overriding c_memsize value 2048 to 0x1000 -bram_block (plb_bram_if_cntlr_1_bram) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bra -m_block_v2_1_0.mpd line 40 - tool overriding c_port_dwidth value 32 to 64 -bram_block (plb_bram_if_cntlr_1_bram) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bra -m_block_v2_1_0.mpd line 42 - tool overriding c_num_we value 4 to 8 -opb_intc (opb_intc_0) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\opb_intc_v1_00_c\data\opb_i -ntc_v2_1_0.mpd line 46 - tool overriding c_num_intr_inputs value 2 to 1 -opb_intc (opb_intc_0) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\opb_intc_v1_00_c\data\opb_i -ntc_v2_1_0.mpd line 47 - tool overriding c_kind_of_intr value -0b11111111111111111111111111111111 to 0b00000000000000000000000000000001 -opb_intc (opb_intc_0) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\opb_intc_v1_00_c\data\opb_i -ntc_v2_1_0.mpd line 48 - tool overriding c_kind_of_edge value -0b11111111111111111111111111111111 to 0b00000000000000000000000000000001 -opb_intc (opb_intc_0) - -C:\devtools\XilinxEDK\hw\XilinxProcessorIPLib\pcores\opb_intc_v1_00_c\data\opb_i -ntc_v2_1_0.mpd line 49 - tool overriding c_kind_of_lvl value -0b11111111111111111111111111111111 to 0b00000000000000000000000000000000 - -Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC... -Sourcing tcl file -C:/devtools/XilinxEDK/hw/XilinxProcessorIPLib/pcores/intc_core_v1_00_c/data/intc -_core_v2_1_0.tcl ... - - - -Performing System level DRCs on properties... - - -INFO:MDT - List of peripherals addressable from processor instance ppc405_0 : - - plb - - opb - - RS232_Uart - - LEDs_4Bit - - LEDs_Positions - - opb_intc_0 - - SRAM_256Kx32 - - plb_bram_if_cntlr_1 - - - -Building Directory Structure for ppc405_0 - - -FPU OPTION: - -Generating platform libraries and device drivers ... - -Running CopyFiles ... - - - -Copying files for os standalone_v1_00_a from -C:\devtools\XilinxEDK\sw\lib\bsp\standalone_v1_00_a\src\ to -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\ppc405_0\libsrc\st -andalone_v1_00_a\ ... - - - -Copying files for driver plbarb_v1_01_a from -C:\devtools\XilinxEDK\sw\XilinxProcessorIPLib\drivers\plbarb_v1_01_a\src\ to -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\ppc405_0\libsrc\pl -barb_v1_01_a\ ... - - - -Copying files for driver opbarb_v1_02_a from -C:\devtools\XilinxEDK\sw\XilinxProcessorIPLib\drivers\opbarb_v1_02_a\src\ to -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\ppc405_0\libsrc\op -barb_v1_02_a\ ... - - - -Copying files for driver uartlite_v1_01_a from -C:\devtools\XilinxEDK\sw\XilinxProcessorIPLib\drivers\uartlite_v1_01_a\src\ to -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\ppc405_0\libsrc\ua -rtlite_v1_01_a\ ... - - - -Copying files for driver gpio_v2_01_a from -C:\devtools\XilinxEDK\sw\XilinxProcessorIPLib\drivers\gpio_v2_01_a\src\ to -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\ppc405_0\libsrc\gp -io_v2_01_a\ ... - - - -Copying files for driver intc_v1_00_c from -C:\devtools\XilinxEDK\sw\XilinxProcessorIPLib\drivers\intc_v1_00_c\src\ to -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\ppc405_0\libsrc\in -tc_v1_00_c\ ... - - - -Copying files for driver cpu_ppc405_v1_00_a from -C:\devtools\XilinxEDK\sw\XilinxProcessorIPLib\drivers\cpu_ppc405_v1_00_a\src\ to -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\ppc405_0\libsrc\cp -u_ppc405_v1_00_a\ ... - - - -Running DRCs for OSes, Drivers and Libraries ... - - - -Running generate for OS'es, Drivers and Libraries ... - - - -Running post_generate for OS'es, Drivers and Libraries ... - -Running make for Drivers and Libraries ... - -Configuring make for target include using: - -make -s include "COMPILER=powerpc-eabi-gcc" "ARCHIVER=powerpc-eabi-ar" -"COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-g" - - - -Configuring make for target libs using: - -make -s libs "COMPILER=powerpc-eabi-gcc" "ARCHIVER=powerpc-eabi-ar" -"COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-g" - - -Compiling common - - -powerpc-eabi-ar: creating ../../../lib/libxil.a - - -Compiling ipif - - -Compiling bsp - - -Compiling plb_arbiter - - -Compiling opbarb - - -Compiling uartlite - - -Compiling gpio - - -Compiling intc - - -Compiling cpu_ppc405 - - - -Libraries generated in -C:\E\Dev\FreeRTOS\WorkingCopy2\Demo\PPC405_Xilinx_Virtex4_GCC\ppc405_0\lib\ -directory - -Running execs_generate for OS'es, Drivers and Libraries ... - - - -LibGen Done. - - -powerpc-eabi-gcc -Os RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -powerpc-eabi-size RTOSDemo/executable.elf - - - text data bss dec hex filename - 38270 828 99172 138270 21c1e RTOSDemo/executable.elf - - - - - -Done! - -At Local date and time: Tue Mar 04 09:30:44 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make download; exit;" started... - - -********************************************* - - -Downloading Bitstream onto the target board - - -********************************************* -impact -batch etc/download.cmd - - -Release 8.2.02i - iMPACT I.34 -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - - -// *** BATCH CMD : setMode -bs -// *** BATCH CMD : setCable -port auto -AutoDetecting cable. Please wait. - - -Connecting to cable (Parallel Port - LPT1). -Checking cable driver. - Driver windrvr6.sys version = 8.1.1.0. - -No resources. - LPT base address = 0378h. - ECP base address = 0778h. - ECP hardware is detected. -Cable connection established. - - -Connecting to cable (Parallel Port - LPT1) in ECP mode. -Checking cable driver. - Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h. - Cable Type = 1, Revision = 3. - Setting cable speed to 5 MHz. -Cable connection established. -// *** BATCH CMD : identify -Identifying chain contents ....Version is 0101 -'1': : Manufacturer's ID =Xilinx xc95144xl, Version : 5 -PMSPEC -- Overriding Xilinx file -with local file - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xc9500xl/data/xc95144xl.bsd... -INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'2': : Manufacturer's ID =Xilinx xc4vfx12, Version : 0 - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/virtex4/data/xc4vfx12.bsd... -INFO:iMPACT:501 - '1': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 1111 -'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15 -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xcfp/data/xcf32p.bsd... - - -INFO:iMPACT:501 - '1': Added Device xcf32p successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'4': : Manufacturer's ID =Xilinx xccace, Version : 0 -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/acecf/data/xccace.bsd... -INFO:iMPACT:501 - '1': Added Device xccace successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -done. -Chain TCK freq = 10000000. -'2': Putting device in ISP mode...done. -Chain TCK freq = 10000000. -Validating chain... -Boundary-scan chain validated successfully. -Elapsed time = 1 sec. -// *** BATCH CMD : identifyMPM -Elapsed time = 0 sec. -// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit" -'3': Loading file 'implementation/download.bit' ... - - -done. -INFO:iMPACT:501 - '3': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- -// *** BATCH CMD : program -p 3 -Chain TCK freq = 10000000. -Chain TCK freq = 10000000. -Validating chain... -Boundary-scan chain validated successfully. -'3':Programming device... - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - -Trying to terminate Process... - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - - -Done! - -At Local date and time: Tue Mar 04 09:38:21 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make download; exit;" started... - - -********************************************* - - -Downloading Bitstream onto the target board -********************************************* -impact -batch etc/download.cmd - - -Release 8.2.02i - iMPACT I.34 -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - - -// *** BATCH CMD : setMode -bs -// *** BATCH CMD : setCable -port auto -AutoDetecting cable. Please wait. - - -Connecting to cable (Parallel Port - LPT1). -Checking cable driver. - - - Driver windrvr6.sys version = 8.1.1.0.No resources. - LPT base address = 0378h. - ECP base address = 0778h. - ECP hardware is detected. -Cable connection established. -Connecting to cable (Parallel Port - LPT1) in ECP mode. - - -Checking cable driver. - Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h. - Cable Type = 1, Revision = 3. - Setting cable speed to 5 MHz. -Cable connection established. -// *** BATCH CMD : identify -Identifying chain contents ....Version is 0101 -'1': : Manufacturer's ID =Xilinx xc95144xl, Version : 5 -PMSPEC -- Overriding Xilinx file -with local file -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xc9500xl/data/xc95144xl.bsd... -INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'2': : Manufacturer's ID =Xilinx xc4vfx12, Version : 0 - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/virtex4/data/xc4vfx12.bsd... -INFO:iMPACT:501 - '1': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 1111 -'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15 -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xcfp/data/xcf32p.bsd... -INFO:iMPACT:501 - '1': Added Device xcf32p successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'4': : Manufacturer's ID =Xilinx xccace, Version : 0 - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/acecf/data/xccace.bsd... -INFO:iMPACT:501 - '1': Added Device xccace successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -done. -Chain TCK freq = 10000000. -'2': Putting device in ISP mode...done. -Chain TCK freq = 10000000. -Validating chain... -Boundary-scan chain validated successfully. -Elapsed time = 0 sec. -// *** BATCH CMD : identifyMPM -Elapsed time = 0 sec. -// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit" -'3': Loading file 'implementation/download.bit' ... - - -done. -INFO:iMPACT:501 - '3': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- -// *** BATCH CMD : program -p 3 -Chain TCK freq = 10000000. -Chain TCK freq = 10000000. -Validating chain... -Boundary-scan chain validated successfully. -'3':Programming device... - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - -make: *** [download] Error 1 - - - - -Done! - -At Local date and time: Tue Mar 04 09:40:12 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make download; exit;" started... - - - - -********************************************* -Downloading Bitstream onto the target board -********************************************* -impact -batch etc/download.cmd - - -Release 8.2.02i - iMPACT I.34 -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - - -// *** BATCH CMD : setMode -bs -// *** BATCH CMD : setCable -port auto -AutoDetecting cable. Please wait. - - -Connecting to cable (Parallel Port - LPT1). -Checking cable driver. - Driver windrvr6.sys version = 8.1.1.0. - -No resources. - LPT base address = 0378h. - ECP base address = 0778h. - ECP hardware is detected. -Cable connection established. -Connecting to cable (Parallel Port - LPT1) in ECP mode. -Checking cable driver. - Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h. - Cable Type = 1, Revision = 3. - Setting cable speed to 5 MHz. -Cable connection established. -// *** BATCH CMD : identify -Identifying chain contents ....Version is 0101 -'1': : Manufacturer's ID =Xilinx xc95144xl, Version : 5 -PMSPEC -- Overriding Xilinx file -with local file - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xc9500xl/data/xc95144xl.bsd... -INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'2': : Manufacturer's ID =Xilinx xc4vfx12, Version : 0 - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/virtex4/data/xc4vfx12.bsd... -INFO:iMPACT:501 - '1': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 1111 -'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15 -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xcfp/data/xcf32p.bsd... -INFO:iMPACT:501 - '1': Added Device xcf32p successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'4': : Manufacturer's ID =Xilinx xccace, Version : 0 -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/acecf/data/xccace.bsd... -INFO:iMPACT:501 - '1': Added Device xccace successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -done. -Chain TCK freq = 10000000. -'2': Putting device in ISP mode...done. -Chain TCK freq = 10000000. -Validating chain... -Boundary-scan chain validated successfully. - - -Elapsed time = 1 sec. -// *** BATCH CMD : identifyMPM -Elapsed time = 0 sec. -// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit" -'3': Loading file 'implementation/download.bit' ... - - -done. -INFO:iMPACT:501 - '3': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- -// *** BATCH CMD : program -p 3 -Chain TCK freq = 10000000. -Chain TCK freq = 10000000. -Validating chain... -Boundary-scan chain validated successfully. - - -'3':Programming device... - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - -make: *** [download] Error 1 - - - - -Done! - - -At Local date and time: Tue Mar 04 09:43:23 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make download; exit;" started... - - -********************************************* - - -Downloading Bitstream onto the target board -********************************************* -impact -batch etc/download.cmd - - -Release 8.2.02i - iMPACT I.34 -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - - -// *** BATCH CMD : setMode -bs -// *** BATCH CMD : setCable -port auto -AutoDetecting cable. Please wait. - - -Connecting to cable (Parallel Port - LPT1). -Checking cable driver. - Driver windrvr6.sys version = 8.1.1.0. - -No resources. - LPT base address = 0378h. - ECP base address = 0778h. - ECP hardware is detected. -Cable connection established. -Connecting to cable (Parallel Port - LPT1) in ECP mode. -Checking cable driver. - Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h. - Cable Type = 1, Revision = 3. - Setting cable speed to 5 MHz. -Cable connection established. -// *** BATCH CMD : identify -Identifying chain contents ....Version is 0101 -'1': : Manufacturer's ID =Xilinx xc95144xl, Version : 5 -PMSPEC -- Overriding Xilinx file -with local file - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xc9500xl/data/xc95144xl.bsd... -INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'2': : Manufacturer's ID =Xilinx xc4vfx12, Version : 0 - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/virtex4/data/xc4vfx12.bsd... -INFO:iMPACT:501 - '1': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 1111 -'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15 -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xcfp/data/xcf32p.bsd... -INFO:iMPACT:501 - '1': Added Device xcf32p successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'4': : Manufacturer's ID =Xilinx xccace, Version : 0 -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/acecf/data/xccace.bsd... -INFO:iMPACT:501 - '1': Added Device xccace successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -done. -Chain TCK freq = 10000000. -'2': Putting device in ISP mode...done. -Chain TCK freq = 10000000. -Validating chain... -Boundary-scan chain validated successfully. - - -Elapsed time = 0 sec. -// *** BATCH CMD : identifyMPM -Elapsed time = 0 sec. -// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit" -'3': Loading file 'implementation/download.bit' ... -done. -INFO:iMPACT:501 - '3': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- -// *** BATCH CMD : program -p 3 -Chain TCK freq = 10000000. -Chain TCK freq = 10000000. -Validating chain... -Boundary-scan chain validated successfully. - - -'3':Programming device... - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - - DeviceIoControl LPT_WRITE_CMD_BUFFER Failed. - - -make: *** [download] Error 1 - - - - -Done! - -At Local date and time: Tue Mar 04 09:45:34 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make download; exit;" started... - - -********************************************* - - -Downloading Bitstream onto the target board -********************************************* -impact -batch etc/download.cmd - - -Release 8.2.02i - iMPACT I.34 -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - - -// *** BATCH CMD : setMode -bs -// *** BATCH CMD : setCable -port auto -AutoDetecting cable. Please wait. - - -Connecting to cable (Parallel Port - LPT1). -Checking cable driver. - Driver windrvr6.sys version = 8.1.1.0. - -No resources. - LPT base address = 0378h. - ECP base address = 0778h. - ECP hardware is detected. -Cable connection established. -Connecting to cable (Parallel Port - LPT1) in ECP mode. -Checking cable driver. - Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h. - Cable Type = 1, Revision = 3. - Setting cable speed to 5 MHz. -Cable connection established. -// *** BATCH CMD : identify -Identifying chain contents ....Version is 0101 -'1': : Manufacturer's ID =Xilinx xc95144xl, Version : 5 -PMSPEC -- Overriding Xilinx file -with local file - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xc9500xl/data/xc95144xl.bsd... -INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'2': : Manufacturer's ID =Xilinx xc4vfx12, Version : 0 -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/virtex4/data/xc4vfx12.bsd... - - -INFO:iMPACT:501 - '1': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 1111 -'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15 -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xcfp/data/xcf32p.bsd... -INFO:iMPACT:501 - '1': Added Device xcf32p successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'4': : Manufacturer's ID =Xilinx xccace, Version : 0 -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/acecf/data/xccace.bsd... -INFO:iMPACT:501 - '1': Added Device xccace successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -done. -Chain TCK freq = 10000000. -'2': Putting device in ISP mode...done. -Chain TCK freq = 10000000. -Validating chain... -Boundary-scan chain validated successfully. -Elapsed time = 1 sec. -// *** BATCH CMD : identifyMPM -Elapsed time = 0 sec. -// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit" -'3': Loading file 'implementation/download.bit' ... - - -done. -INFO:iMPACT:501 - '3': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- -// *** BATCH CMD : program -p 3 -Chain TCK freq = 10000000. -Chain TCK freq = 10000000. -Validating chain... -Boundary-scan chain validated successfully. - - -'3':Programming device... - - -done. -'3': Reading status register contents... -CRC error : 0 -Decryptor security set : 0 -DCM locked : 1 -DCI matched : 1 -End of startup signal from Startup block : 1 -status of GTS_CFG_B : 1 -status of GWE : 1 -status of GHIGH : 1 -value of MODE pin M0 : 1 -value of MODE pin M1 : 1 -Value of MODE pin M2 : 1 -Internal signal indicates when housecleaning is completed: 1 -Value driver in from INIT pad : 1 -Internal signal indicates that chip is configured : 1 -Value of DONE pin : 1 -Indicates when ID value written does not match chip ID: 0 -Decryptor error Signal : 0 -System Monitor Over-Temperature Alarm : 0 -INFO:iMPACT:2219 - Status register values: -INFO:iMPACT - 0011 1111 1111 1110 0000 0000 0000 0000 -INFO:iMPACT:579 - '3': Completed downloading bit file to device. -INFO:iMPACT:580 - '3':Checking done pin ....done. -'3': Programmed successfully. -Elapsed time = 2 sec. -// *** BATCH CMD : quit ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- - - - - -Done! - -Done. - -Done. - -At Local date and time: Tue Mar 04 09:48:55 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_programclean; exit;" started... - -rm -f RTOSDemo/executable.elf - - - - -Done! - -At Local date and time: Tue Mar 04 09:48:59 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -Os RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -powerpc-eabi-size RTOSDemo/executable.elf - - - text data bss dec hex filename - 38270 828 99172 138270 21c1e RTOSDemo/executable.elf - - - - - - - -Done! - -Done. - -At Local date and time: Tue Mar 04 10:22:48 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -Os RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -RTOSDemo/serial/serial.c: In function `xSerialPortInitMinimal': -RTOSDemo/serial/serial.c:76: error: syntax error before "intc" -RTOSDemo/serial/serial.c:89: error: `intc' undeclared (first use in this function) -RTOSDemo/serial/serial.c:89: error: (Each undeclared identifier is reported only once -RTOSDemo/serial/serial.c:89: error: for each function it appears in.) - - -make: *** [RTOSDemo/executable.elf] Error 1 - - - - -Done! - -At Local date and time: Tue Mar 04 10:24:18 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -Os RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -RTOSDemo/serial/serial.c: In function `xSerialPortInitMinimal': -RTOSDemo/serial/serial.c:76: error: syntax error before "xUART" -RTOSDemo/serial/serial.c:89: error: `xUART' undeclared (first use in this function) -RTOSDemo/serial/serial.c:89: error: (Each undeclared identifier is reported only once -RTOSDemo/serial/serial.c:89: error: for each function it appears in.) - - -make: *** [RTOSDemo/executable.elf] Error 1 - - - - -Done! - -At Local date and time: Tue Mar 04 10:24:52 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -Os RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -RTOSDemo/serial/serial.c: In function `xSerialPortInitMinimal': -RTOSDemo/serial/serial.c:76: error: syntax error before "xUART" -RTOSDemo/serial/serial.c:89: error: `xUART' undeclared (first use in this function) -RTOSDemo/serial/serial.c:89: error: (Each undeclared identifier is reported only once -RTOSDemo/serial/serial.c:89: error: for each function it appears in.) - - -make: *** [RTOSDemo/executable.elf] Error 1 - - - - -Done! - -At Local date and time: Tue Mar 04 10:25:18 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -Os RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -RTOSDemo/serial/serial.c: In function `xSerialPortInitMinimal': -RTOSDemo/serial/serial.c:77: error: syntax error before "xUART" -RTOSDemo/serial/serial.c:90: error: `xUART' undeclared (first use in this function) -RTOSDemo/serial/serial.c:90: error: (Each undeclared identifier is reported only once -RTOSDemo/serial/serial.c:90: error: for each function it appears in.) - - -make: *** [RTOSDemo/executable.elf] Error 1 - - - - -Done! - -At Local date and time: Tue Mar 04 10:27:29 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -Os RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -RTOSDemo/serial/serial.c: In function `xSerialPortInitMinimal': -RTOSDemo/serial/serial.c:96: error: `XUL_STATUS_REG_OFFSET' undeclared (first use in this function) -RTOSDemo/serial/serial.c:96: error: (Each undeclared identifier is reported only once -RTOSDemo/serial/serial.c:96: error: for each function it appears in.) -RTOSDemo/serial/serial.c:97: error: `XUL_CONTROL_REG_OFFSET' undeclared (first use in this function) -RTOSDemo/serial/serial.c:97: error: `XUL_CR_FIFO_TX_RESET' undeclared (first use in this function) -RTOSDemo/serial/serial.c:97: error: `XUL_CR_FIFO_RX_RESET' undeclared (first use in this function) -RTOSDemo/serial/serial.c: In function `xSerialPutChar': -RTOSDemo/serial/serial.c:158: error: `XUL_TX_FIFO_OFFSET' undeclared (first use in this function) -RTOSDemo/serial/serial.c: In function `vSerialISR': -RTOSDemo/serial/serial.c:181: error: `XUL_STATUS_REG_OFFSET' undeclared (first use in this function) -RTOSDemo/serial/serial.c:183: error: `XUL_SR_RX_FIFO_FULL' undeclared (first use in this function) -RTOSDemo/serial/serial.c:183: error: `XUL_SR_RX_FIFO_VALID_DATA' undeclared (first use in this function) -RTOSDemo/serial/serial.c:188: error: `XUL_RX_FIFO_OFFSET' undeclared (first use in this function) -RTOSDemo/serial/serial.c:192: error: `XUL_SR_TX_FIFO_EMPTY' undeclared (first use in this function) -RTOSDemo/serial/serial.c:199: error: `XUL_TX_FIFO_OFFSET' undeclared (first use in this function) - - -make: *** [RTOSDemo/executable.elf] Error 1 - - - - -Done! - -At Local date and time: Tue Mar 04 10:27:41 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -Os RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -powerpc-eabi-size RTOSDemo/executable.elf - - - text data bss dec hex filename - 40374 848 99256 140478 224be RTOSDemo/executable.elf - - - - - - - -Done! - -Done. - -At Local date and time: Tue Mar 04 10:28:45 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -Os RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -powerpc-eabi-size RTOSDemo/executable.elf - - - text data bss dec hex filename - 40414 848 99248 140510 224de RTOSDemo/executable.elf - - - - - - - -Done! - -At Local date and time: Tue Mar 04 10:29:00 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -O0 RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -powerpc-eabi-size RTOSDemo/executable.elf - - - text data bss dec hex filename - 51046 848 99256 151150 24e6e RTOSDemo/executable.elf - - - - - -Done! - -Done. - -At Local date and time: Tue Mar 04 10:33:15 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -O0 RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -powerpc-eabi-size RTOSDemo/executable.elf - - - text data bss dec hex filename - 51058 848 99256 151162 24e7a RTOSDemo/executable.elf - - - - - -Done! - -At Local date and time: Tue Mar 04 10:48:35 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -O0 RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -RTOSDemo/main.c:105: error: parse error before "xInterruptController" -RTOSDemo/main.c:105: warning: data definition has no type or storage class -RTOSDemo/main.c: In function `main': -RTOSDemo/main.c:114: error: `XIntc_InterruptHandler' undeclared (first use in this function) -RTOSDemo/main.c:114: error: (Each undeclared identifier is reported only once -RTOSDemo/main.c:114: error: for each function it appears in.) -RTOSDemo/main.c:116: error: `XPAR_OPB_INTC_0_DEVICE_ID' undeclared (first use in this function) -RTOSDemo/main.c:117: error: `XIN_REAL_MODE' undeclared (first use in this function) - - -RTOSDemo/serial/serial.c: In function `xSerialPortInitMinimal': -RTOSDemo/serial/serial.c:76: error: syntax error before "xInterruptController" -RTOSDemo/serial/serial.c:92: error: `xInterruptController' undeclared (first use in this function) -RTOSDemo/serial/serial.c:92: error: (Each undeclared identifier is reported only once -RTOSDemo/serial/serial.c:92: error: for each function it appears in.) -RTOSDemo/serial/serial.c:93: error: `UARTLITE_IRPT_INTR' undeclared (first use in this function) - - -make: *** [RTOSDemo/executable.elf] Error 1 - - - - -Done! - -At Local date and time: Tue Mar 04 10:49:41 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_programclean; exit;" started... - -rm -f RTOSDemo/executable.elf - - - - -Done! - -At Local date and time: Tue Mar 04 10:49:47 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -O0 RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -RTOSDemo/serial/serial.c: In function `xSerialPortInitMinimal': -RTOSDemo/serial/serial.c:94: error: `UARTLITE_IRPT_INTR' undeclared (first use in this function) -RTOSDemo/serial/serial.c:94: error: (Each undeclared identifier is reported only once -RTOSDemo/serial/serial.c:94: error: for each function it appears in.) - - -make: *** [RTOSDemo/executable.elf] Error 1 - - - - -Done! - -At Local date and time: Tue Mar 04 10:50:31 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -O0 RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -powerpc-eabi-size RTOSDemo/executable.elf - - - text data bss dec hex filename - 54870 872 99280 155022 25d8e RTOSDemo/executable.elf - - - - - -Done! - -At Local date and time: Tue Mar 04 11:23:15 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -O0 RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -RTOSDemo/main.c: In function `prvErrorChecks': -RTOSDemo/main.c:229: error: too few arguments to function `uxTaskGetStackHighWaterMark' -RTOSDemo/main.c:239: error: too few arguments to function `uxTaskGetStackHighWaterMark' - - -make: *** [RTOSDemo/executable.elf] Error 1 - - - - -Done! - -At Local date and time: Tue Mar 04 11:23:44 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -O0 RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -RTOSDemo/serial/serial.c: In function `xSerialPortInitMinimal': -RTOSDemo/serial/serial.c:93: error: `vSerialISR' undeclared (first use in this function) -RTOSDemo/serial/serial.c:93: error: (Each undeclared identifier is reported only once -RTOSDemo/serial/serial.c:93: error: for each function it appears in.) - - -make: *** [RTOSDemo/executable.elf] Error 1 - - - - -Done! - -At Local date and time: Tue Mar 04 12:04:46 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -O0 RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -RTOSDemo/serial/serial.c: In function `vSerialISR': -RTOSDemo/serial/serial.c:175: error: `InstancePtr' undeclared (first use in this function) -RTOSDemo/serial/serial.c:175: error: (Each undeclared identifier is reported only once -RTOSDemo/serial/serial.c:175: error: for each function it appears in.) -RTOSDemo/serial/serial.c:177: error: `IsrStatus' undeclared (first use in this function) - - -make: *** [RTOSDemo/executable.elf] Error 1 - - - - -Done! - -At Local date and time: Tue Mar 04 12:05:06 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -O0 RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -RTOSDemo/serial/serial.c: In function `vSerialISR': -RTOSDemo/serial/serial.c:177: error: `IsrStatus' undeclared (first use in this function) -RTOSDemo/serial/serial.c:177: error: (Each undeclared identifier is reported only once -RTOSDemo/serial/serial.c:177: error: for each function it appears in.) - - -make: *** [RTOSDemo/executable.elf] Error 1 - - - - -Done! - -At Local date and time: Tue Mar 04 12:05:44 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -O0 RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -powerpc-eabi-size RTOSDemo/executable.elf - - - text data bss dec hex filename - 54938 872 99280 155090 25dd2 RTOSDemo/executable.elf - - - - - -Done! - -At Local date and time: Tue Mar 04 12:08:57 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -O0 RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -powerpc-eabi-size RTOSDemo/executable.elf - - - text data bss dec hex filename - 54918 872 99280 155070 25dbe RTOSDemo/executable.elf - - - - - -Done! - -Done. - -At Local date and time: Tue Mar 04 12:09:55 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -O0 RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -powerpc-eabi-size RTOSDemo/executable.elf - text data bss dec hex filename - 54938 872 99280 155090 25dd2 RTOSDemo/executable.elf - - - - - - - -Done! - -Done. - -Done. - -Done. - -Done. - -At Local date and time: Tue Mar 04 12:57:44 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -O0 RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c: In function `vPortISRHandler': -C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c:235: error: `XIntc_Config' undeclared (first use in this function) -C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c:235: error: (Each undeclared identifier is reported only once -C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c:235: error: for each function it appears in.) -C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c:235: error: `CfgPtr' undeclared (first use in this function) -C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c:238: error: `XIntc_ConfigTable' undeclared (first use in this function) -C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c:247: error: `XPAR_INTC_MAX_NUM_INTR_INPUTS' undeclared (first use in this function) -C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c:252: error: `XIntc_VectorTableEntry' undeclared (first use in this function) -C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c:252: error: `TablePtr' undeclared (first use in this function) -C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c:280: error: `XIN_SVC_SGL_ISR_OPTION' undeclared (first use in this function) - - -make: *** [RTOSDemo/executable.elf] Error 1 - - - - -Done! - -At Local date and time: Tue Mar 04 12:58:19 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -O0 RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c: In function `vPortISRHandler': -C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c:239: error: `XIntc_ConfigTable' undeclared (first use in this function) -C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c:239: error: (Each undeclared identifier is reported only once -C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c:239: error: for each function it appears in.) - - -make: *** [RTOSDemo/executable.elf] Error 1 - - - - -Done! - -At Local date and time: Tue Mar 04 12:59:11 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -O0 RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -powerpc-eabi-size RTOSDemo/executable.elf - - - text data bss dec hex filename - 54846 872 99272 154990 25d6e RTOSDemo/executable.elf - - - - - - - -Done! - -Done. - -Done. - -Xilinx Platform Studio (XPS) -Xilinx EDK 8.2.02 Build EDK_Im_Sp2.4 - -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - -At Local date and time: Wed Mar 05 09:40:31 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make download; exit;" started... - - - - -********************************************* -Downloading Bitstream onto the target board -********************************************* -impact -batch etc/download.cmd - - -Release 8.2.02i - iMPACT I.34 -Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. - - -// *** BATCH CMD : setMode -bs -// *** BATCH CMD : setCable -port auto -AutoDetecting cable. Please wait. - - -Connecting to cable (Parallel Port - LPT1). -Checking cable driver. - - - Driver windrvr6.sys version = 8.1.1.0. - -No resources. - LPT base address = 0378h. - ECP base address = 0778h. -ECP fifo status bits not initialized properly. -Unable to detect the ECP hardware. The hardware may be malfunctioning or the -BIOS setting for the Parallel port is not set to ECP mode. -Cable connection established. -// *** BATCH CMD : identify - - -Identifying chain contents ....Version is 0101 -'1': : Manufacturer's ID =Xilinx xc95144xl, Version : 5 -PMSPEC -- Overriding Xilinx file -with local file - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xc9500xl/data/xc95144xl.bsd... - - -INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'2': : Manufacturer's ID =Xilinx xc4vfx12, Version : 0 - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/virtex4/data/xc4vfx12.bsd... - - -INFO:iMPACT:501 - '1': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 1111 -'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15 - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/xcfp/data/xcf32p.bsd... -INFO:iMPACT:501 - '1': Added Device xcf32p successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -Version is 0000 -'4': : Manufacturer's ID =Xilinx xccace, Version : 0 - - -INFO:iMPACT:1777 - - Reading c:/devtools/Xilinx/acecf/data/xccace.bsd... -INFO:iMPACT:501 - '1': Added Device xccace successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- -done. -Chain TCK freq = 0. -'2': Putting device in ISP mode...done. -Chain TCK freq = 0. -Validating chain... -Boundary-scan chain validated successfully. -Elapsed time = 3 sec. -// *** BATCH CMD : identifyMPM -Elapsed time = 0 sec. - - -// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit" -'3': Loading file 'implementation/download.bit' ... - - -done. -INFO:iMPACT:501 - '3': Added Device xc4vfx12 successfully. ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- -// *** BATCH CMD : program -p 3 -Chain TCK freq = 0. -Chain TCK freq = 0. -Validating chain... -Boundary-scan chain validated successfully. - - -'3':Programming device... - - -done. -'3': Reading status register contents... -CRC error : 0 -Decryptor security set : 0 -DCM locked : 1 -DCI matched : 1 -End of startup signal from Startup block : 1 -status of GTS_CFG_B : 1 -status of GWE : 1 -status of GHIGH : 1 -value of MODE pin M0 : 1 -value of MODE pin M1 : 1 -Value of MODE pin M2 : 1 -Internal signal indicates when housecleaning is completed: 1 -Value driver in from INIT pad : 1 -Internal signal indicates that chip is configured : 1 -Value of DONE pin : 1 -Indicates when ID value written does not match chip ID: 0 -Decryptor error Signal : 0 -System Monitor Over-Temperature Alarm : 0 -INFO:iMPACT:2219 - Status register values: -INFO:iMPACT - 0011 1111 1111 1110 0000 0000 0000 0000 -INFO:iMPACT:579 - '3': Completed downloading bit file to device. -INFO:iMPACT:580 - '3':Checking done pin ....done. -'3': Programmed successfully. -Elapsed time = 29 sec. -// *** BATCH CMD : quit ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- ----------------------------------------------------------------------- - - - - -Done! - -Done. - -At Local date and time: Wed Mar 05 09:47:23 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -O0 RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -powerpc-eabi-size RTOSDemo/executable.elf - - - text data bss dec hex filename - 54834 872 99272 154978 25d62 RTOSDemo/executable.elf - - - - - -Done! - -Done. - -At Local date and time: Wed Mar 05 09:58:03 2008 - xbash -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy2/Demo/PPC405_Xilinx_Virtex4_GCC/; /usr/bin/make -f system.make RTOSDemo_program; exit;" started... - -powerpc-eabi-gcc -O0 RTOSDemo/main.c RTOSDemo/serial/serial.c RTOSDemo/partest/partest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/port.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/tasks.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/list.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/queue.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/MemMang/heap_2.c C:/E/Dev/FreeRTOS/WorkingCopy2/Source/portable/GCC/PPC405/portasm.s C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flash.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/blocktim.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/dynamic.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/flop.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/GenQTest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/integer.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/QPeek.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/semtest.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/BlockQ.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/death.c C:/E/Dev/FreeRTOS/WorkingCopy2/Demo/Common/Minimal/comtest.c -o RTOSDemo/executable.elf \ - -Wl,-T -Wl,RTOSDemo/RTOSDemo.ld -g -I./ppc405_0/include/ -IRTOSDemo/ -I. -I./RTOSDemo/ -I../Common/include/ -I../../Source/include/ -I./ppc405_0/include/ -I./ppc405_0/include -L./ppc405_0/lib/ \ --D GCC_PPC405 -mregnames -Xlinker -Map=rtosdemo.map - - -powerpc-eabi-size RTOSDemo/executable.elf - - - text data bss dec hex filename - 54746 872 99280 154898 25d12 RTOSDemo/executable.elf - - - - - - - -Done! - -Done. -